(19)
(11)EP 3 035 379 B1

(12)EUROPEAN PATENT SPECIFICATION

(45)Mention of the grant of the patent:
01.07.2020 Bulletin 2020/27

(21)Application number: 14197994.8

(22)Date of filing:  15.12.2014
(51)International Patent Classification (IPC): 
H01L 21/311(2006.01)
H01L 21/768(2006.01)

(54)

Method for blocking a trench portion

Verfahren zum Maskieren eines Grabenabschnitts

Procédé permettant de bloquer une partie de tranchée


(84)Designated Contracting States:
AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

(43)Date of publication of application:
22.06.2016 Bulletin 2016/25

(73)Proprietor: IMEC VZW
3001 Leuven (BE)

(72)Inventors:
  • Kunnen, Eddy
    3001 Leuven (BE)
  • Demuynck, Steven
    3001 Leuven (BE)
  • Bömmels, Jürgen
    3001 Leuven (BE)

(74)Representative: DenK iP 
Leuvensesteenweg 203
3190 Boortmeerbeek
3190 Boortmeerbeek (BE)


(56)References cited: : 
US-A1- 2008 032 508
US-A1- 2008 200 035
US-A1- 2014 193 980
US-A1- 2008 085 472
US-A1- 2013 037 918
US-A1- 2014 242 799
  
      
    Note: Within nine months from the publication of the mention of the grant of the European patent, any person may give notice to the European Patent Office of opposition to the European patent granted. Notice of opposition shall be filed in a written reasoned statement. It shall not be deemed to have been filed until the opposition fee has been paid. (Art. 99(1) European Patent Convention).


    Description

    Technical field of the invention



    [0001] The present invention relates to the field of semiconductor devices and in particular to methods for forming connecting lines in such devices.

    Background of the invention



    [0002] In the manufacture of semiconductor devices, especially on advanced nodes, most of the Back End Of Line (BEOL) layers need to be patterned by multiple lithographic exposure (L) and etch (E) sequences due to limitations in lithographic printability at dense pitch. For this reason, forming connection lines of well-defined length becomes very challenging. A typical sequence for the formation of such connection lines starts by forming trenches in a hard mask (in multiple LE sequences), followed by defining interruptions to those trenches. At dense pitch also these interruptions do require multiple LE sequences. A major issue with this method is that the number of layers in the hard mask stack increases with the number of layers required to define the blocked portions. If more than one lithographic mask must be used, the number of layers in the hard mask stack quickly becomes unpractical. The challenge is now to enable the patterning of these interruptions, even when more than one lithographic mask must be used, without having to increase the number of layers in the hard mask stack in line with the number of layers required to define the trench interruptions.

    [0003] Prior art methods of patterning trench interruptions are disclosed in US 2013/0037918.

    [0004] US 2014/0242799 discloses a method of patterning a blocking mask for protecting wide trenches during the manufacture of narrow trenches.

    Summary of the invention



    [0005] It is an object of the present invention to provide good methods for blocking trench portions during fabrication of a semiconductor device.

    [0006] It is an advantage of embodiments of the present invention that trench portions of well-defined position and dimension can be blocked. The blocking of a trench portion creates two new trenches out of the original trench. The spacing between these two new trenches is determined by the dimensions of the via. The dimensions of the via can typically be controlled with a higher accuracy than the overlay of a second trench pattern with respect to a first trench pattern. This higher accuracy allows to reduce the risk of electrical shorting when the final trench patterns get filled with metal.

    [0007] It is an advantage of embodiments of the present invention that trench portions can be blocked without increasing the number of layers in the hard mask stack. As the number of layers increase in a hard mask scheme, especially when some of these layers are not transparent (e.g. TiN or amorphous carbon), it becomes harder for a common alignment beam to identify a common reference layer at the bottom of the stack. This makes alignment to this common reference layer difficult.

    [0008] The above objective is accomplished by a method according to claim 1.

    [0009] In a first aspect, the present invention relates to a method for blocking one or more portions of one or more longitudinal through-holes during manufacture of a semiconductor structure, wherein the blocking of a through-hole portion creates two new through-holes out of the original through-hole, comprising the steps of:
    1. i. forming a stack comprising:
      1. a. A hard mask having a thickness and comprising at least one longitudinal through-hole having a width (W), a length, and a depth corresponding to the thickness of the hard mask, and
      2. b. A first coating filling the at least one longitudinal through-hole and coating the hard mask, wherein the first coating comprises one or more materials that can be etched selectively with respect to a second coating,
    2. ii. Etching at least a vertical via in said first coating directly above a portion to be blocked of a longitudinal through-hole in such a way as to remove the first coating (present in that portion) at least over a fraction of the depth of the longitudinal through-hole (comprising the portion), wherein the via is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole comprising the portion and of longitudinal dimension equal to the longitudinal dimension of the portion,
    3. iii. Filling at least partially the portion to be blocked with the second coating, thereby providing a longitudinal through hole with a blocked portion creating two new through-holes out of the original through-hole, and
    4. iv. Removing the first coating selectively with respect to the second coating from at least the one or more longitudinal through-holes in such a way as to leave in place any first coating present directly underneath the second coating.


    [0010] Although there has been constant improvement, change and evolution of devices in this field, the present concepts are believed to represent substantial new and novel improvements, including departures from prior practices, resulting in the provision of more efficient, stable and reliable devices of this nature.

    [0011] The above and other characteristics, features and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, which illustrate, by way of example, the principles of the invention. This description is given for the sake of example only, without limiting the scope of the invention. The reference figures quoted below refer to the attached drawings.

    Brief description of the drawings



    [0012] 

    Figs. 1 to 8 are vertical cross-section views of a semiconductor device in construction wherein trenches are being formed in a hard mask.

    Figs. 9 to 15 are vertical cross-section views of a semiconductor device in construction wherein a trench is being blocked according to an embodiment of the present invention.

    Fig. 16 is a plan view of Fig. 15.

    Fig. 17 is a plan view of Fig. 8.

    Fig. 18 is a vertical cross-section of a semiconductor device in construction wherein a first step in the blocking of a second trench is being performed according to an embodiment of the present invention.

    Fig. 19 is a flowchart showing embodiments of the present invention.

    Fig. 20 is a vertical cross-section of a semiconductor device in construction at a stage analogue to Fig. 18 but according to another embodiment.



    [0013] In the different figures, the same reference signs refer to the same or analogous elements.

    Description of illustrative embodiments



    [0014] The present invention will be described with respect to particular embodiments and with reference to certain drawings but the invention is not limited thereto but only by the claims. The drawings described are only schematic and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and the relative dimensions do not correspond to actual reductions to practice of the invention.

    [0015] Furthermore, the terms first, second, third and the like in the description and in the claims, are used for distinguishing between similar elements and not necessarily for describing a sequence, either temporally, spatially, in ranking or in any other manner. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other sequences than described or illustrated herein.

    [0016] Moreover, the terms top, bottom, over, under and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other orientations than described or illustrated herein.

    [0017] It is to be noticed that the term "comprising", used in the claims, should not be interpreted as being restricted to the means listed thereafter; it does not exclude other elements or steps. It is thus to be interpreted as specifying the presence of the stated features, integers, steps or components as referred to, but does not preclude the presence or addition of one or more other features, integers, steps or components, or groups thereof. Thus, the scope of the expression "a device comprising means A and B" should not be limited to devices consisting only of components A and B. It means that with respect to the present invention, the only relevant components of the device are A and B.

    [0018] Reference throughout this specification to "one embodiment" or "an embodiment" means that a particular feature, structure or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, appearances of the phrases "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily all referring to the same embodiment, but may. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner, as would be apparent to one of ordinary skill in the art from this disclosure, in one or more embodiments.

    [0019] Similarly it should be appreciated that in the description of exemplary embodiments of the invention, various features of the invention are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure and aiding in the understanding of one or more of the various inventive aspects. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive aspects lie in less than all features of a single foregoing disclosed embodiment. Thus, the claims following the detailed description are hereby expressly incorporated into this detailed description, with each claim standing on its own as a separate embodiment of this invention.

    [0020] In the description provided herein, numerous specific details are set forth. However, it is understood that embodiments of the invention may be practiced without these specific details. In other instances, well-known methods, structures and techniques have not been shown in detail in order not to obscure an understanding of this description.

    [0021] In a first aspect, the present invention relates to a method for blocking one or more portions of one or more longitudinal through-holes during manufacture of a semiconductor structure, comprising the steps of:
    1. i. forming a stack comprising:
      1. a. A hard mask having a thickness and comprising at least one longitudinal through-hole having a width (W), a length, and a depth corresponding to the thickness of the hard mask, and
      2. b. A first coating filling the at least one longitudinal through-hole and coating the hard mask, wherein the first coating comprises one or more materials that can be etched selectively with respect to a second coating,
    2. ii. Etching (at least) a vertical via in said first coating directly above a portion to be blocked of the longitudinal through-hole in such a way as to remove the first coating from the portion of the longitudinal through-hole over at least a fraction of the depth of the longitudinal through-hole, wherein the via is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole comprising the portion and of longitudinal dimension equal to the longitudinal dimension of the portion,
    3. iii. Filling at least partially the portion to be blocked with the second coating, thereby providing a longitudinal through hole with a blocked portion, and
    4. iv. Removing the first coating selectively with respect to the second coating from at least the one or more longitudinal through-holes in such a way as to leave in place any of the first coating present directly underneath the second coating.


    [0022] The longitudinal through-hole referred to in the present invention can typically be referred to as a trench. It is a hole which is longer than wide and which bores through the entire thickness of the hard mask. The hard mask will typically have more than one such trenches. They are typically disposed parallel to each other. They are typically placed at regular interval following a certain pitch. In embodiments, the hard mask may comprise two or more parallel longitudinal through-holes disposed with a pitch of less than 45 nm, for instance 30-44 nm. The pitch is defined as being the distance between a longitudinal side of a longitudinal through-hole and the corresponding longitudinal side of a neighbouring longitudinal through-hole. The pitch is therefore equal to the distance between two holes plus the width of a hole.

    [0023] In embodiments, the one or more longitudinal through-holes may have a width (W) of less than 25 nm, for instance 10-24 nm.

    [0024] In the method of the present invention, the trenches in the hard mask are typically longer than required for the particular envisioned connection scheme. Hence, the blocking of portions of these trenches fulfil the purpose of precisely limiting the length of the trenches to the requirements of the connection scheme. The portion referred to in the present invention is a segment of a trench along its length. It is defined by the entire width of the trench and by only part of its length. Blocking a portion means filling at least partially that trench portion so as to interrupt the trench and to prevent that portion of the trench to be ultimately transferred to any underlying layer during a subsequent deepening of the trench. Once blocked, that portion creates two new co-linear trenches out of the original trench. It is an advantage of embodiments of the present invention that these two new trenches have well-defined length, determined by the position of the blocked portion. The end-result of the deepening would then be an underlying layer having trenches interrupted where the trenches of the hard mask were blocked. These trenches in the underlying layer can then be metalized to serve as connecting lines.

    [0025] The hard mask can be any material that can:
    • be patterned and which pattern can be transferred into an oxide or nitride layer such as e.g. SiO2 or SiN, and
    • be remove without damaging underlying layers (e.g. by plasma or wet etch).


    [0026] Preferably, the hard mask is a metallic hard mask such as a TiN hard mask or an AIN hard mask. For instance, TiN can be removed easily by plasma or wet etch.

    [0027] In embodiments, the thickness of the hard mask may be from 5 to 50 nm. For instance, it can be from 10 to 30 nm.

    [0028] The hard mask (5) in the stack will typically be supported by a set of layers (see reference numbers 1 to 4 in Fig. 8) comprising a substrate (1), a dielectric layer (2) overlaying the substrate (1), and an optional protective layer (which can be composed of a pattern transfer layer (3) overlying the dielectric layer (2) and an etch block layer (4) overlaying the pattern transfer layer (3)) overlying the dielectric layer (2) and underlying the hard mask (5).

    [0029] The first coating filling the at least one longitudinal through-hole and coating the hard mask comprises one or more materials that can be etched selectively with respect to a second coating.

    [0030] In embodiments, the first coating may comprise one or more materials comprising Si-O-Si groups. In that embodiment, the second coating may advantageously comprise an organic material that can be deposited from solution such as a spin-on-carbon material.

    [0031] In embodiments, the materials comprising Si-O-Si groups can be selected from silicon oxide (such as e.g. SiO2) and spin-on-glass materials (SOG) (such as e.g. siloxane polymers). The advantage of spin-on-glass (SOG) materials is that they can be applied as a liquid and only later cured to form a glass. SOG and silicon oxide materials can typically be etched by the same agents.

    [0032] The first coating can be made of one material (homogeneous) or of more than one material (heterogeneous).

    [0033] If the first coating is homogeneous, it can for instance be a single layer of SOG material.

    [0034] If the first coating is heterogeneous, it may for instance be a combination of a layer made of a first material and a layer made of a second material.

    [0035] The first coating may for instance be a combination of a silicon oxide material layer (e.g. SiO2 deposited by PECVD) and a spin-on-glass material layer. The first material layer (e.g. PECVD silicon dioxide) could for instance cover the hard mask but would not be present in the trenches while the second material layer (e.g. spin-on-glass material) would fill the trenches and overlay the first material. In other words, the first coating may comprise a first material layer and a second material layer, wherein the first material layer covers the hard mask but is not present in the longitudinal through-holes while the second material layer fills the longitudinal through-holes and overlays the first material layer.

    [0036] In embodiments, forming the stack may comprise the steps of:
    1. a. Providing a hard mask,
    2. b. Overlaying the hard mask with a first material that can be etched selectively with respect to the second coating,
    3. c. Overlaying the first material with a patterning layer,
    4. d. Overlaying the patterning layer with a patterned photoresist comprising at least one longitudinal opening,
    5. e. Etching through said opening so as to form said at least one longitudinal through-hole in said hard mask,
    6. f. Removing said patterned photoresist and said patterning layer, thereby exposing the first material and
    7. g. Providing a second material over the first material in such a way as to fill the at least one longitudinal through-hole and cover the first material, wherein the second material can be etched selectively with respect to a second coating, and wherein the first and second materials together define the first coating.


    [0037] For forming the trenches in the hard mask, an alternative to the above lithographic method where trenches are etched in the hard mask is the use of the well-known self-aligned patterning method where parallel hard mask walls (called spacers) defining the trenches are deposited on a substrate (itself typically made of a hard mask). In this alternative embodiment, the hard mask comprising at least one through-hole is formed of the spacers. In these alternative embodiments, forming the stack may comprise the steps of:
    1. a. Forming a pattern of parallel longitudinal raised features of a sacrificial material over a substrate, said features having side-walls,
    2. b. Overlaying the raised features and the substrate with a layer of hard mask material,
    3. c. Etching the layer of hard mask material in such a way as to leave it only on the sidewalls of the features, and
    4. d. Removing said features of sacrificial materials, thereby leaving only the hard mask material, thereby forming a hard mask comprising longitudinal through-holes.
    5. e. Providing a first coating on the hard mask in such a way as to fill the at least one longitudinal through-hole, wherein the first coating can be etched selectively with respect to a second coating.


    [0038] In this above embodiment, the substrate may comprise a SiN top layer. Providing the hard mask may comprise the steps of:

    a1. Providing the substrate,

    a2. Overlaying the substrate with the dielectric layer,

    a3. Optionally overlaying the dielectric layer with the protective layer, and

    a4. Overlaying the dielectric layer, or the protective layer if present, with the hard mask.



    [0039] A patterned photoresist is typically provided by first providing a photoresist layer, than exposing that photoresist layer through a photolithographic mask comprising the pattern.

    [0040] In embodiments, the patterning layer may comprise a spin-on-carbon overlaying the first material and a spin-on-glass overlaying the spin-on-carbon layer.

    [0041] The etching step (ii) is preferably a dry etching step such as a plasma etching step.

    [0042] In embodiments, the etching step (ii) may be performed in such a way as to remove the first coating over only a fraction of the depth of the longitudinal through-hole. In other words, the etching may be stopped when it reaches a fraction, e.g. the middle, of the thickness of the hard mask layer. The etching may therefore leave some of the first coating in the portion of the trench overlapping with the via. In these embodiments, step (iv) may be performed in such a way as to only leave the first coating directly underneath the second coating present in the blocked portion. These embodiments are advantageously used in combination with a first coating formed of two materials. For instance, if two materials form the first coating, the via can be etched into the second material (which can be SOG) and the first material (which can be PECVD oxide) in such a way as to leave some of the second material of the first coating in the portion of the trench overlapping the via. For instance, half of the second material of the first coating could be left in the portion of the trench overlapping the via.

    [0043] In embodiments, the etching step (ii) may be performed in such a way as to remove completely the first coating from the portion. In other words, the etching may be stopped only when it reaches the layer underlying the hard mask (e.g. an etch stop layer).

    [0044] In these embodiments, step (iv) may comprise completely removing the first coating selectively with respect to the second coating from at least the one or more longitudinal through-holes. This is typically performed by removing the first coating completely from the device under construction selectively with respect to the second coating (e.g. by plasma etching).

    [0045] The via typically has the longitudinal dimension of the portion to be blocked.

    [0046] The via is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole comprising the portion to be blocked. In embodiments, the lateral dimension (D) of the via is such as to only overlap the trench comprising the portion to be blocked and not any of the neighbouring trenches.

    [0047] In embodiments, step ii of etching a vertical via may comprise the steps of:
    • Overlaying the first coating with a spin-on-carbon layer,
    • Overlaying the spin-on-carbon layer with a spin-on-glass layer,
    • Providing a patterned photoresist on top of the spin-on-glass layer, wherein the patterned photoresist comprises at least one opening corresponding to the via to be etched, and
    • Etching through said opening so as to form said at least one via.


    [0048] When an opening in a patterned photoresist is said to correspond to the via to be etched, this implies that etching vertically through that opening would result in the via. This in turns imply that the lateral dimensions of the opening is selected so that after etching the obtained via has the wished lateral dimensions.

    [0049] In embodiments, the second coating may comprise an organic material, preferably an organic material that can be deposited from solution such as a spin-on-carbon material. The spin-on-carbon material is an organic material that can be spin coated. The organic material is typically an organic polymer, i.e. a polymer having carbon atoms in its backbone chain. The organic material typically has no Si-O-Si groups.

    [0050] The step (iii) of filling at least partially the portion to be blocked with the second coating can be performed in various ways.

    [0051] In one embodiment, the portion may be filled with the second coating in such a way that the second coating does not cover the top surface of the first coating (i.e. the second coating does not overfill the via).

    [0052] In another embodiment, the portion may be filled with the second coating in such a way that the second coating covers the top surface of the first coating material (i.e. the second coating overfill the via) but is then etched back so as to remove the second coating where it covers the top surface of the first coating.

    [0053] In another embodiment, the portion may be filled with the second coating in such a way that the second coating covers the top surface of the first coating material (i.e. the second coating overfill the via). This embodiment is advantageous when more than one lithographic masks and a corresponding number of photoresists are employed to form vias.

    [0054] In an embodiment, step iii of filling the via with the second coating may be performed in such a way as to cover the top of the first coating (i.e. the second coating overfill the via), and the method may further comprise the following steps before performing step iv:
    1. a. Overlaying the second coating with a spin-on-glass layer,
    2. b. Providing above the spin-on-glass layer a patterned photoresist comprising an opening corresponding to another portion to be blocked of the at least one longitudinal through-hole,
    3. c. Etching a vertical via in said first coating directly above said other portion of the longitudinal through-hole in such a way as to remove the first coating from the longitudinal through-hole over at least a fraction of the depth of the longitudinal through-hole, wherein said vertical via is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole comprising the other portion and of longitudinal dimension equal to the longitudinal dimension of the other portion,
    4. d. Filling at least partially the other portion to be blocked with the second coating, and
    5. e. Optionally repeating steps a to d one or more times.


    [0055] In embodiments, the portion may be filled with the second coating in such a way that the second coating covers completely the top surface of the first coating material.

    [0056] In embodiments, in sub-step b of step iii, "another portion to be blocked' may correspond to either:
    • a further portion to be blocked of the same longitudinal through-hole comprising the portion to be blocked referred to in step ii, or
    • a further portion to blocked of another longitudinal through-hole than the one comprising the portion to be blocked referred to in step ii.


    [0057] In embodiments, the step iv of removing selectively the first coating may be performed by dry etching.

    [0058] In step iv, the expression "leaving in place any of the first coating present directly underneath the second coating" implies that:
    • if during step ii, the first coating was only removed over a fraction of the depth of the longitudinal through-hole, the remaining part present directly underneath the second coating and protected thereby, will not be removed in step iv, but
    • if during step ii, the first coating was removed over the entire depth of the longitudinal through-hole, no first coating is present directly underneath the second coating, and no first coating will therefore been left in place after step iv.


    [0059] In embodiments, wherein the stack further comprises a substrate and optionally one or more interlayers sandwiched between the hard mask and the substrate, wherein the method further comprises after step (iv) the step (v) of deepening (non-blocked portions of) the at least one longitudinal through-hole so as to expose the substrate. In the embodiments, the non-blocked portions of the longitudinal through-holes are the portions where no first coating and/or second coating is present.

    [0060] In embodiments, the substrate may comprise a conductive or semiconductive part. For instance the substrate may be formed entirely or partly from a conductive or semiconductive material. Typically, step (v) exposes a conductive part of the substrate. The substrate is typically a semiconductor substrate but other substrates such as conductive substrates can be used. The semiconductor material forming the substrate (or a part thereof) may for instance be selected from SinGe1-n wherein n is from 0 to 1, doped silicon, silicides, germanides and III-V materials. SinGe1-n are preferred. Si is the most typical substrate.

    [0061] Embodiments of the present invention can be used both in middle of line (MOL) or in back-end of line (BEOL). In embodiments where the invention is used in MOL, the substrate may comprise one or more device structures of the type formed during front-end of line (FEOL) processing. Examples of device structures include but are not limited to memory devices, logical devices, field effect transistors (FETs) and components thereof such as gate electrodes, source regions, and drain regions.

    [0062] In embodiments, the substrate may for instance comprise a source, a drain or the top of a gate.

    [0063] The one or more interlayers can comprise for instance a protective layer and a dielectric.

    [0064] Some of the optional one or more interlayers present between the substrate and the hard mask may have for purpose to permit the forming in step i of the longitudinal through-holes in the hard mask and to permit (typically in step v) the removal of the hard mask without damaging the substrate. These interlayers will be herein referred as forming a protective layer. The protective layer is typically underlying the hard mask. In embodiments, the substrate may be made of a material having for general formula SinGe1-n wherein n is from 0 to 1, and a protective layer may be underlying the hard mask. The protective layer may for instance be formed of an etch block layer (typically underlying the hard mask) and a pattern transfer layer (below the etch block layer and overlying the optional dielectric). The etch block layer is a layer which is not etched by the chemistry used to etch the hard mask. The etch block layer is particularly useful when the substrate is a SinGe1-n substrate.

    [0065] In embodiments, the etch block layer may be an oxide layer. The oxide layer can be for instance a silicon oxide layer or a carbon-doped silicon oxide layer (SiOC). A SiOC layer may be formed, for example, by PECVD using a gas including silicon (Si), a gas including oxygen (O), and a gas including carbon (C). The SiOC layer is typically obtainable from the PECVD of SiH4 and CO2. The SiOC layer contains silicon (Si), oxygen (O), and carbon (C) and may further contain a slight amount of hydrogen (H) due to the source-material gas during the CVD. To form the SiOC layer overlaying the amorphous carbon layer.

    [0066] The pattern transfer layer is a layer that can be etched selectively with respect to the dielectric layer and which can be removed without damaging the substrate. The pattern transfer layer can for instance be an organic layer (such as an amorphous carbon layer) or a second hard mask (such as a TiN layer).

    [0067] The amorphous carbon layer is typically an organic layer deposited by chemical vapour deposition. For instance it can be an organic layer obtainable by the PECVD of C3H6. The thickness of the pattern transfer layer can for instance be from 10 nm to 50 nm or from 12 nm to 45 nm.

    [0068] Other interlayers present between the substrate and the hard mask may form a dielectric above the substrate. The dielectric is typically overlying the substrate.

    [0069] In embodiments, the dielectric layer may be an oxide.

    [0070] In embodiment, said oxide may be a silicon oxide. The silicon oxide is preferably silicon dioxide. For instance, it can be deposited by PECVD.

    [0071] The thickness of the dielectric layer may for instance be from 50 to 200 nm, or from 60 to 160 nm.

    [0072] In embodiments where the invention is used in BEOL, the dielectric can for instance comprise a low-k dielectric.

    [0073] In a second aspect, the invention refers to a semiconductor structure comprising:
    • A hard mask having a thickness and comprising at least one longitudinal through-hole having a width (W), a length, and a depth corresponding to the thickness of the hard mask, and
    • A first coating overlaying the hard mask, wherein the first coating comprises one or more materials that can be etched selectively with respect to a second coating,
    • at least one vertical via in said first coating directly above a portion of the longitudinal through-hole, said vertical via being filled with the second coating, wherein the via is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole and of longitudinal dimension equal to the longitudinal dimension of the portion.


    [0074] In embodiments, the first coating may be partially filling the depth of the at least one longitudinal through-hole in addition to overlaying the hard mask.

    [0075] In embodiments, the hard mask may be supported by a supporting stack. The hard mask may therefore overlay a supporting stack comprising:
    • A substrate,
    • A dielectric layer overlaying the substrate,
    • An optional protective layer overlaying the dielectric layer.


    [0076] The invention will now be described by a detailed description of several embodiments of the invention. It is clear that other embodiments of the invention can be configured according to the knowledge of persons skilled in the art without departing from the true spirit or technical teaching of the invention, the invention being limited only by the terms of the appended claims.

    [0077] Reference will be made to transistors. These are three-terminal devices having a first main electrode such as a drain, a second main electrode such as a source and a control electrode such as a gate for controlling the flow of electrical charges between the first and second main electrodes.

    [0078] Figure 19 summarize the various steps of the method of the first aspect. Optional steps are surrounded by dashed lines.

    Example 1: formation of a longitudinal through hole in a TiN layer.



    [0079] A bare 300 mm silicon wafer (1) compatible for 193 nm immersion (193i) lithography and regular processing was introduced in a cleanroom (see Fig. 1).

    [0080] We now refer to Fig. 2. To mimic a Pre Metal Dielectric (PMD), 150 nm of silicon dioxide (2) was deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD) at 400°C on the substrate (1). A Chemical Mechanical Planarization (CMP) step was applied on this oxide (2) to reduce it to the thickness of 120 nm. In regular flows a CMP step is carried out to reduce topography. In this example, we used a CMP step to ensure a flat PECVD oxide (2). It has been observed that oxide (2) showed surface roughness after deposition. In case of a flat PECVD oxide (2), the CMP step could be omitted. The PECVD oxide (2) was deposited in a Producer SA chamber from Applied Materials. It is a dual chamber and commonly used precursors were used i.e. SiH4 and N2O.

    [0081] We now refer to Fig. 3. On top of the 120 nm PECVD oxide (2), a 35 nm amorphous carbon layer (3) was deposited at 400°C. The type of amorphous carbon layer (3) is not critical as long as it is suitable for use as a patterning substrate. As precursors C3H6 with Ar/He as the carrier gas was used. The amorphous carbon layer used (3) is also known as "Advanced Patterning Film" or APF.

    [0082] We now refer to Fig. 4. On top of the amorphous carbon layer (3), a 15 nm SiOC layer (4) was deposited at 350°C. As precursors, SiH4 with CO2 were used. The amorphous carbon layer (3) and SiOC layer (4) were deposited in the same chamber as used for the PECVD step. The SiOC layer (4) will serve as an etch block (protective) layer during patterning of the TiN hard mask layer (5). Other etch block layers could have been used here such as a silicon oxide layer for instance.

    [0083] We now refer to Fig. 5. A 20 nm TiN layer (5) was sputtered on top of the SiOC layer (4). A tool from Canon-Anelva called C-7100GT was used to that effect. The sputtering occurred at room temperature.

    [0084] We now refer to Fig. 6. On top of the TiN layer (5), 25 nm of a second PECVD silicon oxide layer (6) was deposited at 400°C.

    [0085] We now refer to Fig. 7. On top of the oxide (6), 100 nm Spin-On-Carbon (SOC) (7) and 28 nm Spin-On-Glass (SOG) (8) were spun. The layer composed of the SOC (7) and the SOG (8) acts as a patterning layer (7, 8) for a 193i lithography. SOG/SOC are products from JRS micro called respectively ISX302 and HM710.

    [0086] A 193i lithography print (9) was then provided on top of the SOC (7) /SOG (8) layer. In the next step, the print (9) will be used as a mask to form longitudinal through-holes (10b) (i.e. trenches (10b)) in the TiN layer (5). The print defined trenches separated by each other by a distance of 80 nm.

    [0087] We now refer to Fig. 8. The print was then transferred into the oxide (6) and TiN (5) layer by means of dry etching through the print (9). The etching stopped on the 15 nm SiOC layer (4), and at the end of the etch, the SOG (8) / SOC (7) layers were completely removed. Actually, SOG (8) was first etched through the print (9), next during the SOC (7) etch, the 193 resist on top of the SOG (8) was removed. During the 25 nm oxide (6) etch, the SOG (8) was removed. The SOC (7) was stripped after the TiN (5) etch. In the present example, only one print was used. However to obtain pitches (i.e. inter-trenches distance) smaller than 80 nm in the TiN (5), the steps of providing the patterning layer (7, 8), providing the 193i lithography print (9) and etching down to the SiOC layer (4) can be repeated one or more times. This is done typically one time by placing the second 193i lithography print (not depicted) by half a pitch perpendicularly to the longitudinal direction of the trench features (10a) of the print (9), thereby providing trenches (10b) in the TiN (5) which are twice closer than after the first etch (in this case with a pitch of 40 nm). The etching was carried out in a Kiyo chamber from Lam research. The etching gases were selected amongst usual etching gases such CF4, CH2F2, SF6, N2, O2, Ar, and Cl2 according to the knowledge of the person skilled in the art for their ability to etch the material to be etched.

    Example 2: blocking of a portion of the longitudinal through-hole obtained in example 1.



    [0088] We now refer to Fig. 9. 40 nm SOG (11) was spun on the patterned layer (6, 5). The SOG (11) filled up the pattern in the oxide (6) / TiN (5) and planarized the topography.

    [0089] We now refer to Fig. 10. A 100 nm SOC (12) / 28 nm SOG (13) layer was spun on top of the 40 nm SOG (11). A 193i lithography print (14) was deposited on top of the SOG layer (13). The print (14) (i.e. photoresist mask (14)) was defined in such way that its opening (15) defining the lateral extent of the etching, was corresponding to the positions on the trenches (10b) that needed to be blocked. In practice, the print (14) defined a circular opening (15) of diameter (D) exceeding the width (W) of the longitudinal through-hole (10b). At that stage, a tone inversion was carried out as follow.

    [0090] We now refer to Fig. 11. By means of a dry etch, the pattern of the print (14) was transferred into the 40 nm SOG (11) and the 25 nm PECVD oxide (6). The etching was stopped when it reached the middle of the TiN layer (5) thickness, thereby leaving some SOG (11) in the portion of the trench (10b) overlapping with the via. The SOG (13) / SOC (12) layers were removed during the etching step as described before. The etch was carried out in a Flex El chamber from Lam research using standard fluorocarbon, N2, O2, and Ar etch gases.

    [0091] We now refer to Fig. 12. A SOC material (16) was spin coated on the structure and filled the etched areas. The SOC material (16) was etched back so as to expose the SOG layer (11). The etch back was carried out on endpoint in a Kiyo chamber from Lam using regular chemistries for etching of organic materials. That chemistry is not critical and O2, N2, and/or Ar can be used.

    [0092] We now refer to Fig. 13. The SOG layer (11) and the PECVD oxide (6) were etched back selectively to SOC (12) and TiN (5). Etching was carried out in a Flex El chamber from Lam research. The chemistry chosen was C4F6/O2/Ar. The ratio was selected so as to achieve selectivity to the SOC (12). At the positions where the trenches were present, the underlying SiOC (4) was removed as well. Finally during the dry etch step, the chemistry was changed to etch the amorphous carbon layer (3) and blocking SOC (16) at the same time, selective to TiN (5) and oxide (6). This was done using N2/H2/O2 based chemistries.

    [0093] We now refer to Fig. 14. In the following step, we removed the TiN (5) by using an aqueous ammonium peroxide mixture (APM).

    [0094] We now refer to Fig. 15 and 16. Finally, an oxide (4, 6) etch is carried out in a Flex Fl chamber from Lamresearch using standard fluorocarbon based chemistries. The top oxides (4, 6) are removed during this step and at the end the amorphous carbon layer (3) is stripped. Fig. 16 shows a top view of the obtained structure where the blocked portion (17) of the trench (10b) is visible.

    [0095] A variant permitting the use of multiple masks operates as follows. In the situation of Fig. 11, instead of only filling the etched area with a SOC material (16), the SOC material can be provided in such a way that it not only covers the etched area but that it also covers the SOG layer (11) so as to form a 100nm thick layer over that layer. A new 28 nm SOG layer can then be coated on the SOC material (16) and another 193i lithography print (14) can then be deposited on top of the new 28 nm SOG layer (13). The print (14) can of course here also be defined in such way that its opening (15a) defining the lateral extends of the etching corresponds to the new positions on the trenches (10b) that needed to be blocked. The resulting structure is represented in Fig. 18. The procedure described in reference to Figs. 11 and following can then be applied.

    Example 3: Formation of a longitudinal through hole in a TiN layer and blocking of a portion of the longitudinal through-hole wherein the first coating is formed of a single material.



    [0096] Examples 1 and 2 were repeated except that the substrate (1) comprised a conductive line, that the dielectric layer (2) was formed of a SiCO/SiCN passivation layer, a 65 nm low-k dielectric layer and an oxide capping layer, that the protective layer (3, 4) was formed of a 15 nm TiN (3) and a SiN (4) layer, and that the first coating was formed of a single layer of SOG material (11). An intermediate structure corresponding is shown in Fig. 20.


    Claims

    1. A method for blocking one or more portions (17) of one or more longitudinal through-holes (10b) during manufacture of a semiconductor structure, wherein the blocking of a through-hole portion creates two new through-holes out of the original through-hole, comprising the steps of:

    i. forming a stack comprising:

    a. A hard mask (5) having a thickness and comprising at least one longitudinal through-hole (10b) having a width (W), a length, and a depth corresponding to the thickness of the hard mask (5), and

    b. A first coating (6, 11) filling the at least one longitudinal through-hole (10b) and coating the hard mask (5), wherein the first coating (6, 11) comprises one or more materials (6, 11) that can be etched selectively with respect to a second coating (16),

    ii. Etching a vertical via (15b) in said first coating (6, 11) directly above a portion (17) to be blocked of a longitudinal through-hole (10b) in such a way as to remove the first coating (6, 11) from the portion (17) of the longitudinal through-hole (10b) over at least a fraction of the depth of the longitudinal through-hole (10b), wherein the via (15b) is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole (10b) comprising the portion (17) and of longitudinal dimension equal to the longitudinal dimension of the portion (17),

    iii. Filling at least partially the portion (17) to be blocked, with the second coating (16), thereby providing a longitudinal through hole (10b) with a blocked portion (17) creating two new through-holes out of the original through-hole (10b), and

    iv. Removing the first coating (6, 11) selectively with respect to the second coating (16) from at least the one or more longitudinal through-holes (10b) in such a way as to leave in place any of the first coating (6, 11) present directly underneath the second coating (16).


     
    2. The method according to any one of the preceding claims, wherein the etching step (ii) is performed in such a way as to remove the first coating (6, 11) over only a fraction of the depth of the longitudinal through-hole (10b), and wherein step (iv) is performed in such a way as to only leave the first coating (11) directly underneath the second coating (16) present in the blocked portion (17).
     
    3. The method according to claim 2 wherein the first coating (6, 11) comprises a first material (6) layer and a second material (11) layer, wherein the first material (6) layer covers the hard mask (5) but is not present in the longitudinal through-holes (10b) while the second material (11) layer fills the longitudinal through-holes (10b) and overlays the first material layer (6).
     
    4. The method according to any one of the preceding claims, wherein the etching step (ii) is performed in such a way as to remove completely the first coating (6, 11) from the portion (17), and wherein step (iv) comprises completely removing the first coating (6, 11) selectively with respect to the second coating (16) from at least the one or more longitudinal through-holes (10b).
     
    5. The method according to claim 4, wherein step (iv) comprises completely removing the first coating (6, 11) selectively with respect to the second coating (16).
     
    6. The method according to any one of the preceding claims, wherein the step iv of removing selectively the first coating (6, 11) is performed by dry etching.
     
    7. The method according to any one of the preceding claims, wherein the hard mask (5) is made of TiN.
     
    8. The method according to any one of the preceding claims, wherein the first coating (6, 11) comprises one or more materials (6, 11) comprising Si-O-Si groups and wherein the second coating (16) comprises a spin-on-carbon material.
     
    9. The method according to any one of the preceding claims, wherein the stack further comprises a substrate (1) and optionally one or more interlayers (2, 3, 4) sandwiched between the hard mask (5) and the substrate (1), wherein the method further comprises after step (iv) the step (v) of deepening the at least one longitudinal through-hole (10b) so as to expose the substrate (1).
     
    10. The method according to claim 9 wherein the substrate (1) comprises a conductive or semiconductive part and wherein step (v) exposes said conductive or semiconductive part.
     
    11. The method according to any one of the preceding claims, wherein the at least one longitudinal through-hole (10b) comprises two or more parallel longitudinal through-holes (10b) disposed with a pitch of less than 45 nm.
     
    12. The method according to any one of the preceding claims, wherein the one or more longitudinal through-holes have a width (W) of less than 25 nm.
     
    13. The method according to any one of the preceding claims wherein step ii of etching at least one vertical via (15b) comprises the steps of:

    a. Overlaying the first coating (11) with a spin-on-carbon layer (12),

    b. Overlaying the spin-on-carbon layer (12) with a spin-on-glass layer (13),

    c. Providing above the spin-on-glass layer (13) a patterned photoresist (14) comprising at least one opening (15a) corresponding to the via (15b) to be etched,

    d. Etching through said opening (15a) so as to form said at least one via (15b).


     
    14. The method according to anyone of the preceding claims, wherein step iii of filling the portion to be blocked (17) with the second coating (16) is performed in such a way as to cover the top of the first coating (6,11), and wherein the method further comprises the following steps before performing step iv:

    a. Overlaying the second coating (16) with a spin-on-glass layer (13),

    b. Providing above the spin-on-glass layer (13) a patterned photoresist (14) comprising an opening (15a) corresponding to another portion (17) to be blocked of the at least one longitudinal through-hole (10b),

    c. Etching a vertical via (15b) in said first coating (6, 11) directly above said other portion (17) of the longitudinal through-hole (10b) in such a way as to remove the first coating (11) from the longitudinal through-hole (10b) over at least a fraction of the depth of the longitudinal through-hole (10b), wherein said vertical via (15b) is of lateral dimension (D) larger or equal to the width (W) of the longitudinal through-hole (10b) comprising the other portion (17) and of longitudinal dimension equal to the longitudinal dimension of the other portion (17), and

    d. Filling at least partially the other portion (17) to be blocked with the second coating (16), and

    e. Optionally repeating steps a to d one or more times.


     


    Ansprüche

    1. Verfahren zum Blockieren von einem oder mehreren Abschnitten (17) von einem oder mehreren Längsdurchgangslöchern (10b) während der Herstellung einer Halbleiterstruktur, wobei das Blockieren eines Durchgangslochabschnitts zwei neue Durchgangslöcher aus dem ursprünglichen Durchgangsloch erzeugt, umfassend die Schritte von:

    i. Bilden eines Stapels, der Folgendes umfasst:

    a. Eine Hartmaske (5), die eine Dicke aufweist und mindestens ein Längsdurchgangsloch (10b) umfasst, das eine Breite (W), eine Länge und eine Tiefe aufweist, die der Dicke der Hartmaske (5) entspricht, und

    b. Eine erste Beschichtung (6, 11), die das mindestens eine Längsdurchgangsloch (10b) füllt und die Hartmaske (5) beschichtet, wobei die erste Beschichtung (6, 11) ein oder mehrere Materialien (6, 11) umfasst, die selektiv in Bezug auf eine zweite Beschichtung (16) geätzt werden können,

    ii. Ätzen eines vertikalen Durchgangs (15b) in die erste Beschichtung (6, 11) direkt oberhalb eines zu blockierenden Abschnitts (17) eines Längsdurchgangslochs (10b) in der Weise, dass die erste Beschichtung (6, 11) von dem Abschnitt (17) des Längsdurchgangslochs (10b) über mindestens einen Bruchteil der Tiefe des Längsdurchgangslochs (10b) entfernt wird, wobei die Durchgangsöffnung (15b) eine Querabmessung (D) hat, die größer oder gleich der Breite (W) des Längsdurchgangslochs (10b) ist, das den Abschnitt (17) umfasst, und eine Längsabmessung hat, die gleich der Längsabmessung des Abschnitts (17) ist,

    iii. Mindestens teilweises Füllen des zu blockierenden Abschnitts (17) mit der zweiten Beschichtung (16), wodurch ein Längsdurchgangsloch (10b) mit einem blockierten Abschnitt (17) bereitgestellt wird, wodurch zwei neue Durchgangslöcher aus dem ursprünglichen Durchgangsloch (10b) erzeugt werden, und

    iv. Selektives Entfernen der ersten Beschichtung (6, 11) in Bezug auf die zweite Beschichtung (16) von mindestens einem oder mehreren Längsdurchgangslöchern (10b) in der Weise, dass die erste Beschichtung (6, 11), die direkt unterhalb der zweiten Beschichtung (16) vorhanden ist, an Ort und Stelle verbleibt.


     
    2. Verfahren nach einem der vorstehenden Ansprüche, wobei der Ätzschritt (ii) in der Weise durchgeführt wird, dass die erste Beschichtung (6, 11) nur über einen Bruchteil der Tiefe des Längsdurchgangslochs (10b) entfernt wird, und wobei Schritt (iv) in der Weise durchgeführt wird, dass nur die erste Beschichtung (11) direkt unterhalb der zweiten Beschichtung (16), die in dem blockierten Abschnitt (17) vorhanden ist, zurückbleibt.
     
    3. Verfahren nach Anspruch 2, wobei die erste Beschichtung (6, 11) eine Schicht aus einem ersten Material (6) und eine Schicht aus einem zweiten Material (11) umfasst, wobei die Schicht aus dem ersten Material (6) die Hartmaske (5) bedeckt, aber nicht in den Längsdurchgangslöchern (10b) vorhanden ist, während die Schicht aus dem zweiten Material (11) die Längsdurchgangslöcher (10b) füllt und die Schicht aus dem ersten Material (6) überlagert.
     
    4. Verfahren nach einem der vorstehenden Ansprüche, wobei der Ätzschritt (ii) in der Weise durchgeführt wird, dass die erste Beschichtung (6, 11) vollständig von dem Abschnitt (17) entfernt wird, und wobei Schritt (iv) das vollständige Entfernen der ersten Beschichtung (6, 11) selektiv in Bezug auf die zweite Beschichtung (16) aus mindestens einem oder mehreren Längsdurchgangslöchern (10b) umfasst.
     
    5. Verfahren nach Anspruch 4, wobei Schritt (iv) das vollständige Entfernen der ersten Beschichtung (6, 11) selektiv in Bezug auf die zweite Beschichtung (16) umfasst.
     
    6. Verfahren nach einem der vorstehenden Ansprüche, wobei der Schritt iv des selektiven Entfernens der ersten Beschichtung (6, 11) durch Trockenätzen durchgeführt wird.
     
    7. Verfahren nach einem der vorstehenden Ansprüche, wobei die Hartmaske (5) aus TiN besteht.
     
    8. Verfahren nach einem der vorstehenden Ansprüche, wobei die erste Beschichtung (6, 11) eines oder mehrere Materialien (6, 11) umfasst, die Si-O-Si-Gruppen umfassen und wobei die zweite Beschichtung (16) ein Spin-on-Kohlenstoffmaterial umfasst
     
    9. Verfahren nach einem der vorstehenden Ansprüche, wobei der Stapel weiter ein Substrat (1) und optional eine oder mehrere Zwischenschichten (2, 3, 4) umfasst, die zwischen der Hartmaske (5) und dem Substrat (1) sandwichartig eingeschoben sind, wobei das Verfahren weiter nach Schritt (iv) den Schritt (v) der Vertiefung des mindestens einen Längsdurchgangslochs (10b) umfasst, um das Substrat (1) freizulegen.
     
    10. Verfahren nach Anspruch 9, wobei das Substrat (1) einen leitenden oder halbleitenden Teil umfasst und wobei Schritt (v) den leitenden oder halbleitenden Teil freilegt.
     
    11. Verfahren nach einem der vorstehenden Ansprüche, wobei das mindestens eine Längsdurchgangsloch (10b) zwei oder mehr parallele Längsdurchgangslöcher (10b) aufweist, die mit einem Zwischenraum von weniger als 45 nm angeordnet sind.
     
    12. Verfahren nach einem der vorstehenden Ansprüche, wobei das eine oder die mehreren Längsdurchgangslöcher eine Breite (W) von weniger als 25 nm aufweisen.
     
    13. Verfahren nach einem der vorstehenden Ansprüche, wobei Schritt ii des Ätzens mindestens eines vertikalen Durchgangslochs (15b) die folgenden Schritte umfasst:

    a. Überlagern der ersten Beschichtung (11) mit einer Spin-on-Kohlenstoffschicht (12),

    b. Überlagern der Spin-on-Kohlenstoffschicht (12) mit einer Spin-on-Glasschicht (13),

    c. Bereitstellen eines strukturierten Photoresists (14) oberhalb der Spin-on-Glasschicht (13), der mindestens eine Öffnung (15a) aufweist, die dem zu ätzenden Durchgangsloch (15b) entspricht,

    d. Ätzen durch die Öffnung (15a), um das mindestens eine Durchgangsloch (15b) zu bilden.


     
    14. Verfahren nach einem der vorstehenden Ansprüche, wobei Schritt iii des Füllens des zu blockierenden Abschnitts (17) mit der zweiten Beschichtung (16) in der Weise durchgeführt wird, dass die Oberseite der ersten Beschichtung (6, 11) bedeckt wird, und wobei das Verfahren weiter die folgenden Schritte vor der Durchführung von Schritt iv umfasst:

    a. Überlagern der zweiten Beschichtung (16) mit einer Spin-on-Glasschicht (13),

    b. Bereitstellen eines strukturierten Photoresists (14) oberhalb der Spin-on-Glasschicht (13), der eine Öffnung (15a) umfasst, die einem anderen zu blockierenden Abschnitt (17) des mindestens einen Längsdurchgangslochs (10b) entspricht,

    c. Ätzen eines vertikalen Durchgangs (15b) in die erste Beschichtung (6, 11) direkt oberhalb des anderen Abschnitts (17) des Längsdurchgangslochs (10b) in der Weise, dass die erste Beschichtung (11) von dem Längsdurchgangsloch (10b) über mindestens einen Bruchteil der Tiefe des Längsdurchgangslochs (10b) entfernt wird, wobei die vertikale Durchgangsöffnung (15b) eine Querabmessung (D) hat, die größer oder gleich der Breite (W) des Längsdurchgangslochs (10b) ist, das den anderen Abschnitt (17) umfasst, und eine Längsabmessung hat, die gleich der Längsabmessung des Abschnitts (17) ist, und

    d. Mindestens teilweises Füllen des anderen zu blockierenden Abschnitts (17) mit der zweiten Beschichtung (16) und

    e. Optional ein- oder mehrmaliges Wiederholen der Schritte a bis d.


     


    Revendications

    1. Procédé pour bloquer une ou plusieurs portions (17) d'un ou plusieurs trous traversants longitudinaux (10b) pendant la fabrication d'une structure semi-conductrice, dans lequel le blocage d'une portion de trou traversant crée deux nouveaux trous traversants à partir du trou traversant d'origine, comprenant les étapes de :

    i. formation d'un empilement comprenant:

    a. un masque dur (5) présentant une épaisseur et comprenant au moins un trou traversant longitudinal (10b) présentant une largeur (W), une longueur et une profondeur correspondant à l'épaisseur du masque dur (5), et

    b. un premier revêtement (6, 11) remplissant l'au moins un trou traversant longitudinal (10b) et couvrant le masque dur (5), dans lequel le premier revêtement (6, 11) comprend un ou plusieurs matériaux (6, 11) qui peuvent être gravés sélectivement par rapport à un second revêtement (16),

    ii. gravure d'un trou d'interconnexion vertical (15b) dans ledit premier revêtement (6, 11) directement au-dessus d'une portion (17) à bloquer d'un trou traversant longitudinal (10b) de manière à retirer le premier revêtement (6, 11) de la portion (17) du trou traversant longitudinal (10b) sur au moins une fraction de la profondeur du trou traversant longitudinal (10b), dans lequel le trou d'interconnexion (15b) est de dimension latérale (D) supérieure ou égale à la largeur (W) du trou traversant longitudinal (10b) comprenant la portion (17) et de dimension longitudinale égale à la dimension longitudinale de la portion (17),

    iii. remplissage au moins partiel de la portion (17) à bloquer, avec le second revêtement (16), fournissant ainsi un trou traversant longitudinal (10b) avec une portion bloquée (17) créant deux nouveaux trous traversants à partir du trou traversant d'origine (10b), et

    iv. retrait du premier revêtement (6, 11) sélectivement par rapport au second revêtement (16) d'au moins les un ou plusieurs trous traversants longitudinaux (10b) de manière à laisser en place l'un quelconque du premier revêtement (6, 11) présent directement sous le second revêtement (16).


     
    2. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'étape de gravure (ii) est réalisée de manière à retirer le premier revêtement (6, 11) sur seulement une fraction de la profondeur du trou traversant longitudinal (10b), et dans lequel l'étape (iv) est réalisée de manière à laisser seulement le premier revêtement (11) directement sous le second revêtement (16) présent dans la partir bloquée (17).
     
    3. Procédé selon la revendication 2 dans lequel le premier revêtement (6, 11) comprend une couche de premier matériau (6) et une couche de second matériau (11), dans lequel la couche de premier matériau (6) couvre le masque dur (5) mais n'est pas présente dans les trous traversants longitudinaux (10b) alors que la couche de second matériau (11) remplit les trous traversants longitudinaux (10b) et recouvre la couche de premier matériau (6).
     
    4. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'étape de gravure (ii) est réalisée de manière à retirer complètement le premier revêtement (6, 11) de la portion (17), et dans lequel l'étape (iv) comprend le retrait complet du premier revêtement (6, 11) sélectivement par rapport au second revêtement (16) d'au moins les un ou plusieurs trous traversants longitudinaux (10b).
     
    5. Procédé selon la revendication 4, dans lequel l'étape (iv) comprend le retrait complet du premier revêtement (6, 11) sélectivement par rapport au second revêtement (16).
     
    6. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'étape iv de retrait sélectif du premier revêtement (6, 11) est réalisée par gravure par voie sèche.
     
    7. Procédé selon l'une quelconque des revendications précédentes, dans lequel le masque dur (5) est composé de TiN.
     
    8. Procédé selon l'une quelconque des revendications précédentes, dans lequel le premier revêtement (6, 11) comprend un ou plusieurs matériaux (6, 11) comprenant des groupes Si-O-Si et dans lequel le second matériau (16) comprend un matériau de carbone déposé par centrifugation.
     
    9. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'empilement comprend en outre un substrat (1) et éventuellement une ou plusieurs couches intermédiaires (2, 3, 4) prises en sandwich entre le masque dur (5) et le substrat (1), dans lequel le procédé comprend en outre après l'étape (iv) l'étape (v) d'approfondissement de l'au moins un trou traversant longitudinal (10b) de manière à exposer le substrat (1).
     
    10. Procédé selon la revendication 9 dans lequel le substrat (1) comprend une partie conductrice ou semi-conductrice et dans lequel l'étape (v) expose ladite partie conductrice ou semi-conductrice.
     
    11. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'au moins un trou traversant longitudinal (10b) comprend deux ou plus trous traversants longitudinaux parallèles (10b) disposés avec un pas de moins de 45 nm.
     
    12. Procédé selon l'une quelconque des revendications précédentes, dans lequel les uns ou plusieurs trous traversants longitudinaux présentent une largeur (W) de moins de 25 nm.
     
    13. Procédé selon l'une quelconque des revendications précédentes dans lequel l'étape ii de gravure d'au moins un trou d'interconnexion vertical (15b) comprend les étapes de :

    a. recouvrement du premier revêtement (11) avec une couche de carbone déposé par centrifugation (12),

    b. recouvrement de la couche de carbone déposé par centrifugation (12) avec une couche de verre déposé par centrifugation (13),

    c. fourniture au-dessus de la couche de verre déposé par centrifugation (13) d'une résine photosensible structurée (14) comprenant au moins une ouverture (15a) correspondant au trou d'interconnexion (15b) à graver,

    d. gravure à travers ladite ouverture (15a) de manière à former ledit au moins un trou d'interconnexion (15b).


     
    14. Procédé selon l'une quelconque des revendications précédentes, dans lequel l'étape iii de remplissage de la portion à bloquer (17) avec le second revêtement (16) est réalisée de manière à couvrir le haut du premier revêtement (6, 11), et dans lequel le procédé comprend en outre les étapes suivantes avant de réaliser l'étape iv :

    a. recouvrement du second revêtement (16) avec une couche de verre déposé par centrifugation (13),

    b. fourniture au-dessus de la couche de verre déposé par centrifugation (13) d'une résine photosensible structurée (14) comprenant une ouverture (15a) correspondant à une autre portion (17) à bloquer de l'au moins un trou traversant longitudinal (10b),

    c. gravure d'un trou d'interconnexion vertical (15b) dans ledit premier revêtement (6, 11) directement au-dessus de ladite autre portion (17) du trou traversant longitudinal (10b) de manière à retirer le premier revêtement (11) du trou traversant longitudinal (10b) sur au moins une fraction de la profondeur du trou traversant longitudinal (10b), dans lequel ledit trou d'interconnexion vertical (15b) est de dimension latérale (D) supérieure ou égale à la largeur (W) du trou traversant longitudinal (10b) comprenant l'autre portion (17) et de dimension longitudinale égale à la dimension longitudinale de l'autre portion (17), et

    d. remplissage au moins partiel de l'autre portion (17) à bloquer avec le second revêtement (16), et

    e. répétition éventuelle des étapes a à d une ou plusieurs fois.


     




    Drawing



































    Cited references

    REFERENCES CITED IN THE DESCRIPTION



    This list of references cited by the applicant is for the reader's convenience only. It does not form part of the European patent document. Even though great care has been taken in compiling the references, errors or omissions cannot be excluded and the EPO disclaims all liability in this regard.

    Patent documents cited in the description