(19)
(11)EP 3 433 723 B1

(12)EUROPEAN PATENT SPECIFICATION

(45)Mention of the grant of the patent:
22.07.2020 Bulletin 2020/30

(21)Application number: 17713065.5

(22)Date of filing:  21.03.2017
(51)International Patent Classification (IPC): 
G06F 9/30(2018.01)
G06F 9/32(2018.01)
(86)International application number:
PCT/GB2017/050774
(87)International publication number:
WO 2017/163038 (28.09.2017 Gazette  2017/39)

(54)

BRANCH INSTRUCTION

VERZWEIGUNGSBEFEHL

INSTRUCTION DE DÉRIVATION


(84)Designated Contracting States:
AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

(30)Priority: 23.03.2016 GB 201604948

(43)Date of publication of application:
30.01.2019 Bulletin 2019/05

(73)Proprietor: ARM Limited
Cherry Hinton Cambridge CB1 9NJ (GB)

(72)Inventors:
  • GROCUTT, Thomas Christopher
    Cherry Hinton Cambridge CB1 9NJ (GB)
  • GRISENTHWAITE, Richard Roy
    Cherry Hinton Cambridge CB1 9NJ (GB)
  • CRASKE,Simon John
    Cherry Hinton Cambridge CB1 9NJ (GB)
  • BOTMAN, François Christopher Jacques
    Cherry Hinton Cambridge CB1 9NJ (GB)
  • SMITH, Bradley John
    Cherry Hinton Cambridge CB1 9NJ (GB)

(74)Representative: D Young & Co LLP 
120 Holborn
London EC1N 2DY
London EC1N 2DY (GB)


(56)References cited: : 
EP-A2- 1 071 010
WO-A1-00/22516
  
  • YOUNG ET AL: "Code Scheduling Methods for some architectural features in pipe", MICROPROCESSING AND MICROPROGRAMMING, ELSEVIER SCIENCE PUBLISHERS, BV., AMSTERDAM, NL, vol. 22, no. 1, 1 January 1988 (1988-01-01), pages 39-63, XP024232384, ISSN: 0165-6074, DOI: 10.1016/0165-6074(88)90006-3 [retrieved on 1988-01-01]
  
Note: Within nine months from the publication of the mention of the grant of the European patent, any person may give notice to the European Patent Office of opposition to the European patent granted. Notice of opposition shall be filed in a written reasoned statement. It shall not be deemed to have been filed until the opposition fee has been paid. (Art. 99(1) European Patent Convention).


Description


[0001] The present disclosure relates to data processing systems. More particularly, the present disclosure relates to branch instructions within data processing systems.

[0002] It is known to provide data processing systems including circuitry and supporting methods for processing instructions. Some of these instructions cause a branch to program instructions at a branch target address.

[0003] Young et al, "Code Scheduling Methods for some architectural features in pipe", Microprocessing and Microprogramming, Elsevier Science Publishers BV., Amsterdam, Netherlands, vol. 22 no.1, 1 January 1988 describes a prepare-to-branch instruction which is a mechanism to decrease the penalty incurred by conditional branches.

[0004] In at least some embodiments the present disclosure provides one example there is a data processing apparatus according to claim 1.

[0005] In at least some embodiments the present disclosure provides a method according to claim 14.

[0006] Example embodiments will now be described, by way of example only, with reference to the accompanying drawings in which:

Figure 1 schematically illustrates a data processing apparatus;

Figure 2A schematically illustrates a branch-future instruction;

Figure 2B schematically illustrates a sequence of program instructions including a branch-future instruction;

Figure 2C schematically illustrates a number of branch-future instructions having different implementations of a programmable parameter indicating a predetermined instruction;

Figure 2D schematically illustrates a number of branch-future instructions having different implementations of a programmable branch target address;

Figure 3A schematically illustrates a sequence of program instructions including a branch-future instruction progressing through a processing pipeline;

Figure 3B schematically illustrates the program flow of a sequence of instructions including a branch-future instruction;

Figure 3C schematically illustrates the program flow of a sequence of instructions including a branch-future and link instruction;

Figure 4 schematically illustrates a method of branch-future processing;

Figure 5 schematically illustrates another method of branch-future processing including a process for responding to data invalidation.

Figure 5A illustrates an example of processing a conditional branch future instruction;

Figure 5B illustrates an example of processing a pair of conditional branch future instructions corresponding to opposite conditions;

Figure 6 schematically illustrates zero-overhead loop behaviour;

address when processing of said sequence of program instructions reaches said predetermined instruction.

[0007] In at least some embodiments the present disclosure provides a method of processing data comprising:

performing processing operations specified by a sequence of program instructions;

decoding said sequence of program instructions to generate control signals to control said performing of said processing operations; wherein

said decoding including decoding a branch-future instruction, said branch-future instruction having programmable parameters respectively associated with a branch target address and branch point data indicative of a predetermined instruction following said branch-future instruction within said sequence of program instructions; and

triggering a branch to processing of program instructions starting from a branch target instruction corresponding to said branch target address when processing of said sequence of program instructions reaches said predetermined instruction.



[0008] Example embodiments will now be described, by way of example only, with reference to the accompanying drawings in which:

Figure 1 schematically illustrates a data processing apparatus;

Figure 2A schematically illustrates a branch-future instruction;

Figure 2B schematically illustrates a sequence of program instructions including a branch-future instruction;

Figure 2C schematically illustrates a number of branch-future instructions having different implementations of a programmable parameter indicating a predetermined instruction;

Figure 2D schematically illustrates a number of branch-future instructions having different implementations of a programmable branch target address;

Figure 3A schematically illustrates a sequence of program instructions including a branch-future instruction progressing through a processing pipeline;

Figure 3B schematically illustrates the program flow of a sequence of instructions including a branch-future instruction;

Figure 3C schematically illustrates the program flow of a sequence of instructions including a branch-future and link instruction;

Figure 4 schematically illustrates a method of branch-future processing;

Figure 5 schematically illustrates another method of branch-future processing including a process for responding to data invalidation.

Figure 5A illustrates an example of processing a conditional branch future instruction;

Figure 5B illustrates an example of processing a pair of conditional branch future instructions corresponding to opposite conditions;

Figure 6 schematically illustrates zero-overhead loop behaviour;

Figure 7 schematically illustrates processing pipeline stage content when executing instructions following the zero-overhead loop behaviour of Figure 6;

Figure 8 schematically illustrates a processing pipeline including loop/branch control circuitry to control zero-overhead loop behaviour and branch future instruction behaviour;

Figure 9 illustrates how a comparison may be made between a program counter value and a field of loop control data to identify a point in program execution at which a branch to a loop start position should be performed;

Figure 10A is a flow diagram schematically illustrating the behaviour of a loop-start program instruction;

Figure 10B schematically illustrates an implementation of the loop-start instruction;

Figure 11 is a flow diagram schematically illustrating the behaviour of a loop-end program instruction;

Figure 12 is a flow diagram schematically illustrating the action of loop control circuitry when executing a program loop body as part of zero-overhead loop behaviour;

Figure 13 is a flow diagram schematically illustrating control of execution of instructions within a program loop body to provide predication whereby vector instructions may operate upon multiple vector elements with the particular vector elements active during a given iteration of the program loop body being controlled by predication;

Figures 14A to 14E show examples of execution of non-predicated and predicated loops; and

Figure 15 schematically illustrates a virtual machine implementation.



[0009] The present disclosure recognises that branch instructions may cause delays to processing that reduce performance. To address this problem, the present disclosure provides branch-future instruction decoding circuitry to decode a branch-future instruction. The branch-future instruction includes a programmable parameter associated with a branch target address. The branch target address corresponds to a program instruction which may be processed by the processing circuitry following a branch. The branch-future instruction also includes a further programmable branch point data parameter indicative of a predetermined instruction following the branch-future instruction within a sequence of program instructions. By including a further programmable branch point data parameter in the branch-future instruction, the processing circuitry can prepare to branch to the branch target address in advance of the branch point. Therefore, when the branch point is reached a delay to processing may be avoided or reduced.

[0010] Figure 1 schematically illustrates an example of a data processing apparatus 100 coupled to a memory 102. The memory 102 stores program instructions and operand data. Instructions are fetched by a fetch unit 104, and decoded by an instruction decoder 106 to generate control signals 120 to control processing circuitry. The processing circuitry may include floating point processing circuitry 108 and floating point registers 110 for performing data processing operations on floating point operands received from or stored to the memory 102. The processing circuitry also includes a multiplier 112, a shifter 114, an adder 116 and integer registers 117 for performing data processing operations on integer operands received from or store to the memory 102.

[0011] Some instructions fetched by the fetch unit may be branch instructions that branch processing from following a current sequence of program instructions to program instructions stored at memory address locations starting from a branch target address. To accommodate branch instructions the data processing apparatus 100 includes branch unit 119 that may redirect program flow to a branch target address. To accelerate the processing of branch instructions the data processing apparatus 100 may include a branch predictor 118. The branch predicator 118 stores state data, and predicts whether branch instructions will be taken or not taken based on the state data. The branch unit 119 may also be controlled by loop control data to support/provide zero-overhead loop behaviour (in place of or in addition to other loop control circuitry) as will be described further herein. The branch predictor 118 may also be populated with loop control as will be described further herein.

[0012] Figure 2A schematically illustrates an example of a branch-future instruction BF 200. The branch-future instruction 200 includes a programmable parameter indicative of a branch target address 254, a programmable parameter providing programmable branch point data 252, and encoding bits 258 that identify the instruction as a branch future instruction. The branch point data 252 indicates a predetermined instruction that follows the branch-future instruction BF in a sequence of program instructions to be processed by a data processing apparatus. The branch target address 254 indicates the location of an instruction which processing should branch to when the program flow reaches the predetermined instruction. A number of bits, x 256, may be reserved for other parameters.

[0013] Returning to Figure 1, the data processing apparatus includes branch-future instruction decoding circuitry 122 for decoding branch-future instructions such as those shown in Figure 2A in response to identifying an instruction is the branch-future by matching the branch future encoding bits 258. Upon decoding the branch-future instruction the branch-future instruction decoding circuitry 122 controls the processing circuitry to store within a branch control data cache 130 branch target address data, and branch point data indicative of the predetermined instruction. By storing data in this way, the data processing apparatus 100 can be provided with an indication in advance of a when a branch from processing of the normal sequence of program instructions may occur, and the target address to where the branch will direct the program execution point. Therefore, when the program flow reaches the predetermined instruction indicated by the branch-future instruction, the branch can occur with a reduced or no delay to processing. The data processing apparatus also includes loop-end instruction decoding circuitry 123 and predicated-loop-start-instruction decoding circuitry 125 (which also serves non-predicated-loop-start-instructions decoding circuitry) to control the processing circuitry to respond to loop-end instructions DLE and loop-start instructions DLS(P) as will be described further below.

[0014] The branch control data cache 130 may store data corresponding to the branch point as a proper subset of bits indicative of a memory storage address of the predetermined instruction starting from a least significant bit end of bits of a memory storage address that distinguishes between starting storage addresses of instructions. In order to determine when the program flow has reached the predetermined instruction, these bits can be compared (e.g. see Figure 9 described below) to a value indicative of the program counter, a value indicative of the next instruction fetch address, or a value that is indicative of processing activity of the data processing apparatus 100.

[0015] Figure 2B shows program code including a branch-future instruction BF, and a sequence of program instructions, ADD1, ADD2 and BX, which may be processed by the data processing apparatus 100. The branch-future instruction BF initially sets up the data processing apparatus 100 to branch to a target address when the program flow reaches the predetermined instruction BX in the manner described above. Having decoded the branch-future instruction, the data processing apparatus 100 will continue to process the sequence of program instructions including ADD1 and ADD2. Once the data processing apparatus 100 has processed ADD2, the branch point data stored in the cache 130 indicates that a branch is to occur. In addition, the branch control data cache 130 also stores corresponding branch target data corresponding to an address of the instruction to be branched to. Thus the branch to processing program instructions starting from the branch target instruction corresponding to the branch target data may occur without causing a delay to processing or a bubble (unused slot) to be introduced into a processing pipeline.

[0016] Figure 2C illustrates different examples of the programmable branch point data parameter that indicates a predetermined instruction with respect to the sequence of instructions shown in Figure 2B. In branch-future instruction 201 of Figure 2C, the branch point data comprises data indicative of the address of the branch instruction BX. Branch control circuitry 124 shown in Figure 1 may match the data indicative of the address of BX to a value corresponding to the next instruction being fetched. Then, when it is determined that the program flow has reached the branch instruction BX, the branch control circuitry 124 triggers a branch to an instruction indicated by the branch target of branch-future instruction 201.

[0017] The branch point data may alternatively be data indicative of instruction ADD2, as shown in branch-future instruction 202 of Figure 2C. Therefore, in the same way as branch-future instruction 201, the branch control circuitry 124 triggers a branch to the branch target when the program flow has fetched ADD2 and reaches BX.

[0018] Branch-future instruction 203 of Figure 2C shows branch point data that includes an address offset from the branch-future instruction BF to the predetermined instruction BX in Figure 2B. In the example of Figure 2B, ADD1 and ADD2 are 32-bit instructions. Therefore, an address offset of 8 bytes indicates the predetermined instruction BX.

[0019] Branch-future instruction 204 of Figure 2C shows branch point data indicating a remaining instruction count. The remaining instruction count indicates the number of instructions to be executed following the branch future instruction before the predetermined instruction is reached. In the example of Figure 2B, this corresponds to ADD1 and ADD2. Hence the remaining instruction count in this example is +2.

[0020] Branch-future instruction 205 of Figure 2C shows remaining size data indicative of a number of program storage locations remaining to be processed before the predetermined instruction is reached. If the instruction ADD and ADD2 were variable length instructions respectively of 32-bits and 16-bits, then their total size would be 6 bytes and so the remaining size value is 6.

[0021] Branch-future instruction 211 of Figure 2C shows branch point data that includes an address offset from the branch-future instruction BF to the predetermined instruction BX in Figure 2B. In the example of Figure 2B, ADD1 and ADD2 are 32-bit instructions. Therefore, the address offset is 8 bytes, however a value of 4 is used to indicate the predetermined instruction BX, as all instructions are aligned to at least a 2 byte boundary and therefore the least significant bit of the value 8 isn't required to uniquely identify the address of the predetermined instruction.

[0022] Figure 2D shows some other examples of branch-future instructions having different implementations of the programmable parameter associated with the branch target address. As shown in branch-future instruction 206 of Figure 2D, the branch target may be indicated by a register specifier <Rm> that specifies a register location storing a memory address of the instruction to be branched to by the processing circuitry.

[0023] Branch-instruction 207 of Figure 2D shows another example where the branch target is indicated by an immediate offset value <imm> that indicates the address of the instruction to be branched to by an offset from a point relative to the memory address of the branch-future instruction.

[0024] Branch-future instruction 208 and branch-future instruction 209 of Figure 2D show examples of branch-future instructions that also include a link specifier. The link specifier controls the processing circuitry to store a return address in a link register LR. When the program flow completes processing of the program instructions starting at the branch target and reaches a return instruction, a further branch is performed to the address stored in the link register. Therefore, processing may return to an original stream of program instructions once the program instructions at the branch target have been processed. In other examples, the return address may be stored at any address in a storage area specified by a predetermined offset applied to a stack point register.

[0025] Figure 2D also shows a conditional branch-future instruction 210. The conditional branch-future instruction 210 includes some condition code: op{cond}. When the conditional branch-future instruction is processed, the branch-future instruction decoder determines whether the condition codes have been satisfied, and the conditional branch-future instruction is processed in dependence of this determination.

[0026] Figure 3A illustrates a sequence of instructions including a branch-future instruction progressing through a processing pipeline of the data processing apparatus 100 of Figure 1 (a simple three stage pipeline: fetch, decode and execute). In cycle 0, an instruction I1 is fed to the fetch stage of the processing pipeline. In cycle 1, the first instruction of the sequence of instructions shown in Figure 2B is fed into the pipeline, i.e. a branch-future instruction BF. Instruction I1 also progresses to the decode stage in this cycle. In cycle 2, add instruction ADD1 is fed into the pipeline, and the branch-future instruction BF is decoded. Upon decoding the branch-future instruction BF, the branch-future instruction decoding circuitry 122 obtains branch point data indicative of a predetermined instruction following the branch future instruction BF within the sequence of program instructions, and branch target data, which may be stored in the branch control data cache 130. In this case the branch point data is indicative of another branch instruction BX.

[0027] In cycle 3, another instruction, ADD2 is fed into the processing pipeline. The branch control circuitry 124 identifies that the sequence of program instructions has reached the predetermined instruction, and that a branch to processing of program instructions starting from a branch target address should be triggered. Therefore, in the next cycle, cycle 4, a first instruction I1BT, from the program instructions at the branch target address is fed into the processing pipeline. Similarly, in cycle 5 and cycle 6 two more instructions, I2BT and I3BT are fed into the processing pipeline.

[0028] Figure 3B illustrates the program flow of the data processing apparatus 100 when processing the instructions of Figure 3A. As can be seen, a first sequence of instructions including I1, BF, ADD1 and ADD2 are first processed by the data processing apparatus. Due to the branch-future instruction BF, the processing of ADD2 indicates that a branch should occur to another sequence of program instructions starting from a branch target address. This other sequence includes branch target instructions I1BT, I2BT and I3BT. As can be seen in Figure 3B, the program flow branches away from the first sequence of program instructions including the branch-future instruction, and processes the branch target instructions. I1BT, I2BT and I3BT may be arithmetic and logical instructions, data handling instructions that cause memory operations to be performed, or any other type of instruction.

[0029] As shown in Figure 3B, the branch-future instruction BF causes a branch immediately after the ADD2 instruction bypassing the branch instruction BX. Branch instruction BX may be included in the sequence of program instruction for the event that branch future state data stored in the branch control data cache 130 is invalidated between the branch-future instruction BF and BX. For example, in the event of: said processing circuitry being reset; loop control circuitry or a loop-end instruction determining that no further iterations of a program loop comprising said branch-future instruction are required; an exception being entered; exception tail-chaining whereby processing proceeds directly from processing a current exception to processing a next exception without restoring state prior to said current exception; execution of a branch instruction with greater than a predetermined immediate target address range; execution of a branch instruction; a loop-start instruction; returning from an exception; execution of an instruction that causes an instruction cache of said data processing apparatus to be invalidated; execution of an instruction that disables caching of said control data; execution of an instruction that disables branch prediction; said processing circuitry determining that a branch within a program loop body targets an address that is not between a loop-start instruction and a loop-end instruction; a switch between a secure mode of operation and a non-secure mode of operation; and one or more implementation defined conditions; any stored branch point data corresponding to the branch-future instruction may be invalidated. As such, it may no longer be feasible to perform the branch indicated by the branch-future instruction. Therefore, the branch instruction BX is included in the sequence program instructions as a back up in order to branch to the target address. However, under normal circumstances, the branch instruction BX will not be processed.

[0030] Figure 3C schematically illustrates a sequence of program instructions including a branch-future and link instruction BFL. The BFL instruction results in a branch to the sub routine func: when the instruction MUL is reached. The BFL instruction also causes a return address value to be stored into the link register LR, the return address value indicats the address of the CMP instruction to be returned to when the subroutine func: has been executed. In some embodiments the BFL instruction may store the return address value into the link register LR. In other embodiments the BFL instruction may store a link indicator flag within the branch control data cache 130, and the branch control circuitry 124 may store the return address value to the link register LR when the branch to the branch target address is triggered if the link indicator flag is set.

[0031] Figure 4 shows an example of a method for branch-future processing. In step 401 a branch-future instruction is decoded. The branch-future instruction includes programmable parameters respectively indicating a branch target address and branch point data indicative of a predetermined instruction following the branch-future instruction within a sequence of program instructions. The method then proceeds to step 402, where it is determined whether the sequence of program instructions has reached the predetermined instruction. When it is determined that the sequence of program instruction has reached the predetermined instruction, the method proceeds to step 403 where a branch to processing of program instruction from the branch target address is triggered.

[0032] Figure 5 shows another example of a method for branch-future processing. In step 501, a branch-future instruction is decoded. As previously described, the branch-future instruction includes a branch target address, and branch point data indicative of a predetermined instruction following said branch-future instruction within said sequence of program instructions. In step 502, branch point data and a branch target address are stored in loop/branch control cache. In the case of branch future with link instructions the return address may also be stored with the link register LR, in alternative embodiments a branch future with link instruction would instead cause a link indicator flag to be stored. Processing then proceeds to step 503, where it is monitored whether the sequence of program instructions being processed has reached the predetermined instruction. If the predetermined instruction has not been reached, it is checked whether the branch target address and the branch point data have been invalidated in step 504. In the event of invalidation at step 504, processing moves to step 506 where the branch-future instruction is ignored, and regular program flow advances. However, if the data is determined to still be valid, processing returns to step 503. When it is determined that the predetermined instruction has been reached, processing proceeds to step 505 where a branch to processing of program instructions starting from the branch target address is triggered. In embodiments that store a link indicator flag in step 502 the processing circuitry would check to see if this flag is set in step 505, and upon determining that it is set the return address would be stored in the link register LR.

[0033] Figure 5A shows an example sequence of instructions to illustrate a problem that can arise with conditional branch-future instructions and a technique for addressing this problem. The sequence of instructions includes a condition branch BEQ for branching to a certain target address if a corresponding condition (e.g. equals EQ) is satisfied. A corresponding conditional branch-future instruction BFCSEL is included specifying the same condition EQ as the branch BEQ and also specifying a parameter 'func' indicating the branch target address and branch point data BP for identifying the address BP just before which the branch should be taken. Hence, if the EQ condition is satisfied, a branch to the branch target instruction I1BT is to be triggered when processing reaches the instruction ADD2 just before point BP. Normally, if the condition associated with a conditional branch is not met, no branch should be taken and instead program flow continues sequentially. One would expect the branch future instruction BF to behave in a similar manner, so that if the condition for the branch future instruction BFCSEL is not satisfied, then following the ADD2 instruction the branch to the branch target address 'func' should not be taken, and instead the next instruction following the instruction ADD2 at the branch point BP should be executed.

[0034] However, the instruction following ADD2 is the conditional branch BEQ corresponding to the branch future instruction BFCSEL, and as the EQ condition is already known not to be satisfied for the branch future instruction BFCSEL, the branch BEQ will also fail its condition. Hence, when the condition is failed there are two 'wasted' instructions (the branch future instruction BFCSEL and the branch instruction BEQ) introduced into the processing pipeline which take up fetch/decode/issue/execution slots but do not trigger any real processing operation (a failed branch essentially behaves as a no-operation (NOP) instruction). Hence, while including the branch future instruction BFCSEL can improve performance in cases when the branch condition is passed, when the condition is failed including the branch future instruction BFCSEL actually incurs a performance penalty because there are now two wasted instructions (the branch future instruction BFCSEL and the branch BEQ), instead of one wasted instruction (the branch BEQ) if the branch future instruction BFCSEL had not been included. This makes it difficult for a compiler to determine whether it is justified including the branch future instruction BFCSEL - the decision on whether to do so or not may depend on the probability that the condition is satisfied, which may be data-dependent and can be very difficult to predict at compile time.

[0035] Figure 5A shows how this issue can be addressed. In addition to the condition code EQ, branch point information BP and target address information 'func', the conditional branch-future instruction BFCSEL may also specify a branch-bypass parameter 'end' which is indicative of an address of a branch-bypass instruction 12 which is the instruction following the subsequent branch instruction BEQX associated with the branch-future instruction BFCSEL. If the condition associated with the branch-future instruction BFCSEL is satisfied, the branch-future instruction decoding circuitry 122 controls the processing circuitry to store within the branch control data cache 130 branch target address data, and branch point data indicative of the predetermined instruction ADD2 just before to the point BP, in the same way as discussed above. On the other hand, if the condition associated with the branch-future instruction BFCSEL is not met, the branch-future instruction decoding circuitry 122 controls the processing circuitry to store within the branch control data cache 130 branch control data identifying the branch point BP and branch-bypass instruction. Hence, when the processing reaches the branch point BP, if the condition was failed then instead of proceeding sequentially to the next instruction BEQ, the branch control circuitry 124 triggers a branch to the branch-bypass instruction 12.

[0036] This means that regardless of whether the condition associated with a conditional branch-future instruction is satisfied, the branch instruction BEQ itself is not reached unless the branch control data is invalidated before reaching the branch point BP. Hence, there is no penalty incurred by introducing the branch future instruction BFCSEL, as the number of instruction slots associated with the branch control is still 1 regardless of whether the condition is passed or failed and regardless of whether the branch future instruction is included or not. Hence, this means the compiler can use branch future instructions without having to estimate whether including the branch future instructions would be likely to introduce a performance penalty, and therefore makes utilisation of the branch future instruction more likely so that the performance benefits of reducing the branch delay at the branch point can be achieved more often when executing program code in practice.

[0037] The branch-bypass parameter 'end' can be encoded in various ways within the conditional branch instruction, or may be implicit and so may not need to be encoded at all. For example, the 'end' address could be specified in a register or as an immediate value, and could be specified as an absolute value or specified relative to the program counter address of the branch future instruction itself BFCSEL. However, in practice, as the branch-bypass instruction 12 will typically follow only a few instructions on from the branch point BP, it can be more efficient to encode the branch-bypass parameter as an address specified relative to the address of the predetermined instruction ADD2 at the branch point, to reduce the number of bits required for encoding the branch-bypass parameter. Some embodiments may permit the branch point BP to be specified an arbitrary number of instructions ahead of the branch instruction BEQ. For example, this may allow control instructions such as compare instructions for evaluating the condition associated with the branch BEQ to be bypassed when branching from the branch point as well as the branch itself. In this case, the branch-bypass parameter could be a binary numeric value specifying the offset of the branch-bypass instruction relative to the predetermined instruction at the branch point in multiples of some address stride value (e.g. 2 or 4 bytes).

[0038] However, other embodiments may assume that the branch point BP is the instruction ADD2 immediately preceding the branch BX, and that the branch bypass instruction 12 is the instruction immediately following the branch, so there is one instruction between ADD2 and 12. If all branch instructions have a certain fixed instruction length, then the offset between the addresses of the instruction ADD2 at the branch point BP and the branch-bypass instruction 12 may be separated by a certain known offset, and so there may be no need to encode the branch-bypass parameter 'end' in the branch future instruction at all.

[0039] On the other hand, even if it is assumed that the branch instruction BX is the only instruction separating the branch-bypass instruction 12 from the instruction ADD2 at the branch point BP, some implementations may support variable instruction length and so the intervening branch instruction BEQ could have one of a number of instruction lengths. In this case, the bypass parameter 'end' in the conditional branch future instruction BFCSEL could identify the branch-bypass instruction by specifying the length of the subsequent branch instruction BEQ without the need to fetch and determine the length of the branch instruction BEQ, e.g. if there are two possible instruction lengths (e.g. 16 bits or 32 bits) for the branch instruction then the bypass parameter 'end' could be represented by a 1-bit flag.

[0040] Figure 5B shows another example sequence of instructions showing use of a pair of branch future instructions BFCSEL corresponding to opposite conditions. It is relatively common for a program to require a 'fork' in the program flow requiring a branch to a first piece of code if a condition is satisfied and to a second piece of code if the condition is not satisfied (e.g. for handling if-then-else constructs). Hence, the program instruction sequence may include a pair of branch instructions BEQ and BNQ corresponding to opposite conditions (e.g. equal EQ and not equal NE). To reduce delays on handling the branches, corresponding conditional branch future instructions BFCSEL may be included with opposite conditions EQ and NE respectively. Hence, regardless of whether the EQ or NE condition is satisfied, one of the branches to 'func1' or 'func2' should be taken.

[0041] If the first of the pair of branch future instructions BFCSEL EQ fails its condition, the branch-future instruction decoding circuitry 122 controls the processing circuitry to store within the branch control data cache 130 branch control data which specifies the branch-bypass instruction at bypass address 'end' as discussed above. The second branch future instruction BFCSEL NE will then pass its condition, and so instead of branching to 'end' on reaching the first branch point BP, the required program flow actually requires branching to function 'func2' at the branch point BP. Hence, if a branch future instruction passes its condition when the branch control data cache 130 already contains valid branch control data set in response to an earlier branch future instruction, the branch-future instruction decoder circuitry 122 controls the processing circuitry to overwrite the previously set branch control data.

[0042] However, if the first of the pair of branch future instructions BFCSEL EQ passes its condition, data is stored to the branch control data cache 130 to control branching to the branch target address 'func1' when processing reaches the branch point BP. However, the second of the pair of branch future instructions BFCSEL NE will fail its condition and would ordinarily set the data in the branch control data cache 130 to indicate a branch to the branch-bypass address 'end' at the branch point BP. However, overwriting the previously set branch control data would in this case lead to the wrong result as branching to instruction 12 at address 'end' following the branch point BP would result in neither of the two functions 'func1' and 'func2' being executed. To prevent this, if a branch future instruction fails its condition when the branch control data cache 130 already contains valid branch control data set in response to an earlier branch future instruction, the branch-future instruction decoder circuitry 122 controls the processing circuitry to retain the previously set branch control data in the branch control data cache 130.

[0043] In summary, a 'condition true' branch future instruction overwrites valid branch control data set in response to a previous branch future instruction, but a 'condition false' branch future instruction does not overwrite valid branch control data set in response to a previous branch future instruction. This ensures that branch future instructions can be used correctly even when a 'fork' in the program flow control is required where the program branches to one of two alternative functions depending on the outcome of a condition.

[0044] Figure 6 schematically illustrates zero-overhead program loop behaviour. It will be appreciated that zero-overhead program loop behaviour does not mean there is no overhead associated with supporting loop behaviour, but rather that this overhead is reduced, such as, for example, by requiring loop control program instructions to occupy slots within a program execution pipeline during the first pass through the program loop body with subsequent passes being controlled without a requirement to separately execute those loop control program instructions.

[0045] Program flow normally progresses linearly until a program branch is encountered. In the example illustrated in Figure 6 program flow progresses past instruction Ix to reach a loop start instruction DLS[P]. This loop start instruction DLS[P] may exhibit both predicated and non-predicated behaviour. If the program loop body comprising instructions 10, I1, 12, 13 following the loop-start instruction DLS[P] is to execute as a vector program loop body in which multiple vector elements are processed for each pass through the program loop body, then the loop-start instruction will specify a vector element size to be used for predication. When this vector element size to be used is less than the maximum data path width of the underlying processing system, then this indicates that multiple vector elements are to be processed on each pass through the program loop body. Conversely, if the vector element size specified is equal to the maximum data path width, or no data element size is specified, then this indicates that processing is to be pursued in a scalar manner whereby one element is processed for each pass through the program loop body. When processing a plurality of vector elements during one pass through the program loop body, such a pass can be considers to correspond to having executed the program loop body for a plurality of iterations given by the number of vector elements processed during that pass through the program loop body. For example, a program to be executed may require eight elements to be processed, i.e. eight iterations of the program loop body. This could be achieved by two passes through the program loop body each executing the desired processing upon four of the elements in parallel with each pass through the program loop body corresponding to four iterations of the loop. In other embodiments, the elements may be separately processed during eight passes through the loop each corresponding to one iteration.

[0046] It will be appreciated that in typical vector implementations performance increase is achieved by processing the vector elements in parallel during a pass through the program loop body. However, some embodiments may sacrifice the ability to execute in parallel for a reduction in hardware overhead/complexity by executing the different vector elements in sequence even though they appear, from the programmer's point of view, to correspond to a single parallel vector processing instruction.

[0047] In the case of vector processing with a plurality of vector elements, the different vector elements are processed in different lanes of vector processing, and each of these lanes may be subject to predication. At one level the predication may be used to match the processing performed to the number of vector elements to be processed during each pass through the program loop body given the available number of data lanes available for the element bit-width concerned. For example, if the processing is to be performed upon seven vector elements and the vector element size is such that four vector elements may be processed during each pass through the program loop body, then the overall processing may be achieved by performing one pass through the program loop body in which four vector elements are processed in parallel followed by a final pass through the loop body in which three elements are processed in parallel and one lane of the vector processing is suppressed in its operation due to the predication of the program instructions within the program loop body.

[0048] Such predication matches the numbers of iterations through the program loop body with the data path width of the processing and the vector element size. Such predication may be added to by further predication which serves to suppress processing within the processing lanes during given pass through the program loop body for other reasons related to the computation being performed, e.g. as specified by the program instructions. Both of these forms of predication may be combined to provide an overall predication of the vector elements as they pass through the execution of the program loop body.

[0049] Returning to Figure 6, the loop-start instruction has a parameter associated therewith that specifies the number of times the program loop body is to be executed (corresponding to the number of scalar loop iterations if no vectorisation is performed) as indicated by a value stored within a register Rn, which is copied by the DLS(P) instruction to the register LR. The register LR may be a register which is also used as a link register to store a return address to be used upon program call return and accordingly it may be desirable to save the contents of this link register to the program stack before executing the DLS(P) instruction. The loop-start instruction DLS(P) also has an associated parameter "loopend" indicating the program address of the end of the zero-overhead loop and is the instruction immediately following a loop-end instruction DLE.

[0050] Following the loop-start instruction DLS(P) there are found one or more program instructions, e.g. I0, I1, I2, I3, which form the program loop body. It will be appreciated that the program loop body could be short and simple or long and complex (e.g. may contain further loops or branches) and may in some circumstances only comprise a single instruction. In practice, short program loop bodies benefit proportionally more from the use of zero-overhead loops as the overhead of executing conventional loop control instructions on each pass through a program loop body is greater if the program loop body is itself shorter in length.

[0051] At the end of the program loop body there is a loop-end instruction DLE. This loop end instruction DLE takes as parameters for controlling its behaviour a loopstart parameter specifying the memory address of the instruction after the loop-start instruction DLS[P]. The DLE instruction checks the value stored in the register LR, which is indicative of the number of iterations remaining to be performed. When the final pass through the program loop body has been performed, processing proceeds to execute the program instruction following the loop-end instruction DLE, namely the instruction Iz. This may be done by directly branching to the instruction Iz, or by first executing the DLE instruction as a NOP and then executing Iz.

[0052] The right hand portion of Figure 6 schematically illustrates which instructions are executed during which passes through the program loop body. On a first pass through the program loop body, both the loop-start instruction DLS[P] and the loop-end instruction DLE are executed. Having executed both the loop-start instruction and the loop-end instruction, loop control data is set up and stored by the system hardware. This allows subsequent passes through the program loop body to be performed without having to separately execute the loop-start instruction DLS[P] or the loop-end instruction DLE when such looping behaviour is allowed to proceed uninterrupted. This is indicated in the right hand portion of Figure 6 by the solid lines tracing out the program instructions executed and the dotted lines indicating jumps/branches of program flow. As illustrated, at the end of the final pass through the program loop body, the program execution point may jump from the final instruction 13 of the program loop body to the instruction Iz following the loop-end instruction without executing the loop-end instruction DLE. In other embodiments, the program flow may pass through the loop-end instruction DLE with its action merely being supressed as by that point the link register LR is storing a value indicating that all of the required iterations of the program loop body have been performed.

[0053] The location of the loop-end instruction DLE at the end of the program loop body, and its action in setting up and storing the loop control data, has the result that if the passes through the program loop body are interrupted and the loop control data invalidated such as due to occurrence of an exception, then when the processing by the program loop body is resumed, the loop-end instruction DLE may be executed again to restore the necessary loop control data. More particularly, the loop control data (microarchitectural state) need not be preserved upon occurrence of an exception, but the link register value LR (architectural state) will be maintained indicating how many of iterations of the program loop body have been performed. When execution resumes partway through the program loop body, then the loop-end instruction will be executed again (even though its execution would have been suppressed if the interrupt had not occurred) and will serve to restore the loop control data such that, upon subsequent passes through the program loop body, the zero-overhead loop behaviour is resumed and the execution of the loop-end instruction may be avoided on such subsequent passes.

[0054] As mentioned above, the loop control data which controls the zero-overhead loop behaviour may be invalided upon occurrence of an exception/interrupt during zero-overhead loop processing. Other events may also trigger the invalidation of the loop control data with that loop control data then being restored, in at least some instances, when the processing is resumed. Examples of events which can result in invalidation of the loop control data include: the processing circuitry is reset; the loop control circuitry or the loop end instruction identifying that no further iterations of the program loop body are required; an exception being entered; exception tail-chaining whereby processing proceeds directly from processing a current exception to processing a next exception without restoring state prior to the current exception; execution of a branch instruction with greater than a predetermined immediate target address range; returning from an exception; execution of instruction causing an instruction cache of the apparatus to be invalidated; execution of an instruction that disables caching of the loop control data; execution of an instruction that disables a branch predictor or branch prediction (a modified branch predictor may be used in part to fulfil the role of the loop control circuitry); the processing circuity determining that the branch within the program loop body targets an address that is not between the beginning instruction of the program loop body and the loop end instruction; a switch between a secure mode of operation and a non-secure mode of operation; and one or more implementation defined conditions that a particular implementation may use to invalidate the loop control data.

[0055] Figure 7 schematically illustrates the contents of various processing stages of a processing pipeline when performing the example zero-overhead program loop behaviour illustrated in Figure 6. In this example the processing pipeline contains six stages, namely fetch, decode, and execute. The program instructions illustrated in Figure 6 are supplied/fetched to this processing pipeline. As illustrated, the first pass through the program loop body includes execution of both the loop-start instruction DLS[P] and the loop-end instruction DLE. Thus, there are six instructions (DLS(P), I0, I1, 12, 13 and DLE) executed on the first pass. On the subsequent three passes through the program loop body execution of the loop-start instruction DLS[P] and the loop-end instruction DLE are not required and instead the loop control circuitry operating under control of the loop control data may be used to track the number of passes through the program loop body that have been performed, identify when the last instruction within the program loop body has been placed into the fetch stage and to identify the branch point to be used when branching back to the beginning instruction of the program loop body. In this example, the instruction 10 is the beginning instruction of the program loop body and the instruction 13 is the last/final instruction within the program loop body. Upon each of the zero-overhead passes through the program loop four program instructions (I0, I1, 12 and 13) flow through the processing pipeline. After execution of the final instruction 13 on the fourth pass through the program loop body, the loop iteration counter stored within the link register LR indicates that all the required iterations have been performed and accordingly when the final instruction 13 is reached, the loop control circuitry will not trigger a branch back to the beginning instruction 10, but instead will trigger a branch to the instruction Iz immediately following the loop-end instruction DLE. In other embodiments the loop control circuitry does not trigger a branch to the instruction Iz, but instead allows program flow to continue to the DLE. Since the iteration counter stored is the link register LR will indicate no more iteration are required the DLE instruction won't perform any operations and program flow will continue on the instruction Iz. This approach may be easier to implement in some embodiments, and since only one more DLE instruction is executed when the end of the loop is reached the performance impact may be minimal.

[0056] Figure 8 schematically illustrates the form and operation of one example of loop/branch control circuitry 1000 in association with a fetch stage 1002 of an instruction pipeline. The loop/branch control circuitry is at least partially controlled by loop/branch control data stored within a loop/branch control cache 1004. It will be appreciated that the zero overhead loop behaviour and branch future behaviour described elsewhere may be controlled using the same or similar circuitry. For example, branch future behaviour may be supported using the loop control data (or data similar thereto) and the loop/branch control circuitry 1000 when the system is in a state where a DoLoop flag (which indicates that zero-overhead looping behaviour is active) is not set and yet the loop/branch control data is marked as valid.

[0057] Returning to Figure 8 and its use in controlling zero overhead loop behaviour, the loop control cache 1004 is loaded with loop control data as a consequence of the loop end instructions DLE. The loop-end instructions are decoded by loop-end decoding circuitry 123 illustrated in Figure 1. Data can also be loaded into this cache 1004 by the execution of branch future instructions BF, which is decoded with the branch-future instruction decoding circuitry 122 illustrated in Figure 1.

[0058] The loop control cache 1004 may in some embodiments reuse storage which also serves as a fault syndrome register or registers to store fault syndrome data upon occurrence of faults. Such registers may have at least one valid bit fv associated therewith indicating whether any data stored therein is valid fault syndrome data. The loop/branch control circuitry 1000 and the action of the loop-start and loop-end instructions may serve to set such fault register valid bits fv associated with the fault syndrome data to an invalid state when the registers concerned are instead storing loop control data or branch future data. In some embodiments it may be that the preservation of fault syndrome data is considered more significant that allowing zero-overhead loop behaviour to proceed and accordingly if any of the false syndrome registers is storing fault syndrome data as indicated by the fault register valid bit fv, then the decoding of a loop end instruction does not permit such valid fault syndrome data to be overwritten and the zero-overhead loop behaviour will be suppressed (although the presence of the loop start and loop end instructions will still ensure that the correct number of program loop body iterations are performed by their explicit execution).

[0059] The loop control circuitry 1000 also generates a trace output to be used to form trace data for diagnostics/analysis purposes. The loop control circuitry generates a trace output corresponding to execution of a loop-end instruction DLE upon execution of such an instruction or upon control of branching back to the beginning instruction under the control of the loop/branch control circuitry 1000 when executing a zero-overhead loop even though execution of the loop-end instruction has been avoided and has not explicitly (separately) taken place during the zero-overhead loop operation subsequent to the first pass through the program loop body. Providing trace output which includes trace data indicative of events corresponding to loop-end instruction execution even though that loop-end instruction has not been explicitly/separately executed in the conventional sense makes the trace output easier to follow and understand when performing diagnostic and analysis operations.

[0060] The loop control data stored within the loop control cache 1004 can have a variety of forms and include different types of data used to control the zero-overhead loop behaviour and the branch future behaviour. In particular, the loop control data may, for example, include one or more of: loop start data indicative of an address of the beginning instruction (indicating this address directly or via an address with a predetermined offset from the address to the beginning instruction); a loop start offset address that is indicative of a distance between the last instruction of the program loop body that immediately proceeds to the loop-end instruction and the beginning instruction of the program loop body; loop end data indicative of an address of a last instruction of the program loop body that immediately proceeds to the loop-end instruction; loop remaining instruction data indicative of the number of instructions remaining to be processed before a last instruction of the program loop body that immediately proceeds to the loop-end instruction is reached; loop remaining size data indicative of the number of program storage memory locations storing program instructions remaining to be processed before a last instruction of the program loop body that immediately proceeds the loop-end instruction is reached; and loop control valid data v indicating that the loop control data is valid, e.g. an invalidation event as previously described has not occurred.

[0061] In some example embodiments, the loop control cache 1004 may contain multiple entries, so that multiple operations can be simultaneously supported. Each of these entries within the loop control cache 1004 further has a valid bit v associated with it indicating whether or not it is currently storing valid loop control data. This valid bit v for the loop control data may be cleared to indicate invalidity upon occurrence of one of the various invalidation events described above. In this example embodiment, the loop control cache 1004 is illustrated as having two entries. This permits both zero-overhead loop and branch future behaviour to be simultaneously supported. In other embodiments, storage sufficient for only a single entry may be provided within the loop control cache 1004 and accordingly only one of either zero-overhead loop behaviour or branch future behaviour may be supported at a given time. It will be appreciated that the loop control cache 1004 also serves as a branch control cache. The same dual function is also provided by the loop control circuitry 1000.

[0062] As illustrated in Figure 8, the loop control circuitry 1000 serves to monitor the memory addresses associated with program instructions I loaded into the fetch stage 1002 from an instruction cache 1006. When the address of the program instruction loaded matches (or has a predetermined relationship, e.g. predetermined offset from) the address of the program instruction indicated as the last instruction within the program loop body of a zero-overhead loop by the loop control data stored within the loop control cache 104, the loop control circuitry 1000 determines whether or not there are remaining passes to be performed by the system through the program loop body (as indicated by the value within the link register LR) and, if there are such remaining passes, then triggers a branch to the beginning instruction of the program loop body. In this way, the loop control circuitry 1000, acting under control of the loop control data stored within the loop control cache 1004, is able to determine if further loop iterations are required, and, if further loop iterations (a pass through the program loop body for a given element to be processed) are required, then to control the processing circuitry (the instruction pipeline and the other elements of the system which perform the instructions executed by the processing pipeline) to perform the processing operation(s) specified by the program instructions or the program loop body preceding the loop end instruction, but excluding the loop end instruction (which does not itself need to be separately executed upon passes through the program loop body other than the first pass, subject to an invalidation event of the loop control data having not arisen).

[0063] Figure 9 schematically illustrates how one example form of the loop control circuitry 1000 may use a portion of the loop control data to compare with data dependant upon a current program counter value associated the next instruction to be fetched by the fetch stage 1002 (may not be the instruction memory address itself, e.g. may have a fixed offset therefrom) to determine if a branch to the beginning instruction of the program loop body is required. More particularly, the loop control data may include a proper subset of bits indicative of a memory storage address of the loop-end instruction. For example, the loop control data may include a field of bits extending from bit 2 to bit x of a normal 32-bit, byte addressed memory address corresponding to a memory storage location. This is compared with the corresponding bit positions within a memory address associated with the next instruction to be fetched supplied by the fetch stage 1002. The program instructions in this example embodiment are the 32-bit program instructions, which are aligned within the byte addressed memory address space such that the least two significant bits 1:0 are invariant, and accordingly need not be subject to the comparison to identify the requirement to branch to the loop start address. It will be appreciated that the example of Figure 9 is only one way in which the loop control circuitry 1000 may identify the last instruction within the program loop body being fetched into the fetch stage 1002 and accordingly the requirement to branch to the loop start address, i.e. to the beginning instruction of the program loop body. This requirement to perform a branch may be achieved in further ways, such as counting the number of instructions fetched as progress is made through the program loop body, counting the number of storage locations passed through in fetching the instruction of the program loop body (this technique may be suitable for use in embodiments employing variable length instructions), or other techniques.

[0064] Figure 10A is a flow diagram schematically illustrating behaviour upon the decoding of a loop-start instruction. At step 2000 processing waits until a loop-start instruction DLS (P) is decoded by a loop-start instruction decoder circuitry. Step 2002 determines whether or not the iteration count stored within the register specified by the parameter Rn is equal to zero. If the iteration count is equal to zero, then this indicates that no passes (iteration) are required through the program loop body and processing proceeds to step 2004 at which either a branch to the instruction following the loop-end instruction DLE is performed or the program loop body is traversed with suppression of each of the instructions encountered, such as by suppressing write backs and other side effects from those instructions.

[0065] If the determination at step 2002 is that the iteration count is not zero, then processing proceeds to step 2003 at which a determination is made as to whether the instruction decoded at step 2000 is a predicated loop start instruction DLSP. If yes, then processing proceeds directly to the step 2006. If no, then step 2005 sets the element size to the full vector size before processing again proceeds to step 2006at which a value Nmax is generated indicating a maximum number of vector elements that can be processed in one pass through the program loop body In other embodiments the calculation of Nmax need not be done as part of the DLS(P) instruction, e.g. it could be done as part of instruction processing within the DoLoop as illustrated in Figure 13. This value Nmax is dependant upon the data width of the processing circuitry of the processing pipeline compared to a vector element size specified by the loop-start instruction. The Nmax value is static throughout execution of the zero-overhead loop behaviour and so the value generated need not be explicitly stored but merely be used to control the vector behaviour as and when required.

[0066] Step 2008 serves to set a flag indicating that the DoLoop state is valid and the system is currently processing a DoLoop (i.e zero-overhead loop behaviour is active), the loop iteration count is also copied to the link register LR, where it will be used by the loop control circuitry. Processing then proceeds to step 2010 at which an advance is made to fetching and executing the beginning instruction of a program loop body.

[0067] Figure 10B schematically illustrates a loop-start instruction DLS(P). This includes an opcode field ENC identifying the instruction as a DLS(P) instruction. Parameter Fields are also included specifying a register containing the iteration count Rn (to be transferred to the link register LR), an indicator of where the loop-end instruction is located (may be specified in various ways as for the BF specifying the branch target addresses previously described), and an element size value. If the element size value is set to the full vector size of the data path, then the instruction will be treated as non-predicated, i.e. a DLS instruction. If the element size is less than this indicates the loop should be vectorised using elements of the specified vector size and processed as a predicated loop-start instruction.

[0068] The vector element size VEwidth is stored within a floating point control data register that is accessible when floating point data values are accessible and is inaccessible when floating point data values are inaccessible. When the floating point data values are accessible, vector element size VEwidth as decoded from the loop-start instructions is stored within the floating point control data register and controls vectorisation within the data path. If the loop-start instructions is non-predicated, then the vector element size is set to the vector register width VRwidth.

[0069] When floating point data values are not accessible, e.g. floating point state has not been initialised, an attempt to read VEwidth from the floating point control data register returns a value of VRwidth independent of any value of VEwidth currently stored within the floating point control register (also inaccessible). If the floating point values are made accessible (initialised) part way through execution of a loop, then any existing floating state will be saved (e.g. by a lazy state saving mechanism) and a new floating point state will be initialised including intialising the value of VEwidth stored within the floating point control data register to VRwidth (hardwired for a particular implementation). The vectorisation within the loop will accordingly be unchanged.

[0070] Figure 11 is a flow diagram schematically illustrating actions upon decoding of a loop-end instruction DLE. At step 2012 processing waits until a loop-end instruction DLE is decoded by a loop-end instruction decoder. Step 2014 then determines whether or not the DoLoop flag is currently set. If the DoLoop flag is not currently set, then this indicates that zero-loop behaviour is not currently active and processing proceeds to step 2016 at which execution of the loop-end instruction is supressed, such as by treating it as a no-operation instruction (NOP) at step 2013.

[0071] If the determination at step 2014 is that the DoLoop flag is set, then step 2018 serves to store loop control data into the loop control cache 1004. This loop control data may include, for example, data indicative of the instruction address of the beginning instruction, a field of least significant bits (excluding any invariant bits due to fixed instruction alignment) corresponding to the bits of the memory storage address of the DLE instruction and a valid bit indicating that the loop control data is valid. Other forms of loop control data may also be stored as previously described.

[0072] Step 2020 determines whether or not the current iteration count is less than or equal to the Nmax value previously generated in response to the DLS(P) loop-start instruction. If the iteration count is less than or equal to the Nmax value, then this indicates that a current pass through the program loop body which has reached the loop-end instruction is the last required pass through the program loop body and accordingly processing proceeds to step 2016. If the determination at step 2020 is that the iteration count is not less than or equal to the Nmax value, then processing proceeds to step 2022 at which the iteration count is decremented by Nmax.

[0073] Step 2024 then performs a branch to the beginning instruction of the program loop body.

[0074] Figure 12 is a flow diagram schematically illustrating the action of the loop control circuitry 1000. At step 2026 the loop control circuitry 1000 determines whether or not the loop control data is currently marked as valid. If the loop control data is currently marked as valid, then processing proceeds to step 2028 where a determination is made as to whether or not the latest instruction supplied to the pipeline (i.e. fetched to the fetch stage 1002) is the last instruction within the program loop body as indicated by the address of the next instruction to be fetch matching the loop control data. If fetching of such a last instruction in the program loop body is detected, then processing proceeds to step 2030 at which a determination is made as to whether or not the iteration count is currently less than or equal to the value Nmax. If the iteration count is less than or equal to the value of Nmax, then processing proceeds to step 2032 at which the DoLoop flag is cleared and the loop control data valid bit v is cleared. This indicates that zero-overhead loop behaviour is finished. Processing by the loop control circuitry 1000 then finishes for that zero-overhead loop behaviour instance. The loop-end instruction will then be processed as discussed in relation to Figure 11, e.g. a branch around such a loop-end instruction DLE made.

[0075] If the determination at step 2030 is that the current iteration count, which tracks the number of passes through the program loop body, is not less than or equal to the value Nmax, then step 2034 serves to decrement the iteration count by Nmax. Step 2036 then branches to the beginning instruction of the program loop body and the next pass through the program loop body is initiated.

[0076] Figure 13 is a flow diagram schematically illustrating the control of execution of instructions within a zero-overhead program loop body and, in particular, how this may involve predication. Step 2038 determines whether there is an instruction to execute. Step 2040 then determines whether the DoLoop flag is set indicating that such execution is taking place within a zero-overhead loop program loop body. If the DoLoop flag is not set, then processing of the instruction proceeds normally at step 2050. If the determination at step 2040 is that the DoLoop flag is set, then step 2042 determines whether the value of Nmax is greater than the remaining iteration count. If the value of Nmax is not greater than the remaining iteration count, then step 2044 sets the number of active lanes to be used for the program instruction to be executed as Nmax. This number of active lanes may in practice may be reduced a consequence as further predication which may be encoded within the instruction to be executed at step 2048 or a previous instruction performed in either steps 2048 or 2050. If the determination at step 2042 is that value of Nmax is greater than the remaining iteration count, then step 2046 sets the number of active lanes to be used for the instruction as the remaining iteration count value. This avoids the active use of lanes of processing when there are not vector elements needing to be processed within such lanes. Step 2048 then performs the instruction identified as requiring execution at step 2038 using the set number of active lanes.

[0077] A vectorised performance of the instruction may have dependence upon the type of the instruction being performed. More particularly, the manner in which the predication indicated by the number of active lanes selected is achieved may vary depending on the nature of the instruction being executed and the nature of the data path of the system concerned. For example predication may be applied by suppressing write back for data lanes (e.g. byte enables) which have been indicated by the predication of data as not required. In such a case the element size applied when generating the predication value may be different from the element size applied by the processing instruction. Thus suppressing the write back at a smaller element size may result in only parts of a single arithmetic operation such as an addition being written back. In the cases of instructions which can not be readily split, then the predication applied may be specified at a byte level, but is implemented in dependence upon only one of the byte predication values, such as the least significant byte serving to predicate the whole of a word of data processing performed.

[0078] As discussed above, the loop control circuitry 1000 may control updating of the loop counter in dependence on a control parameter stored in a predetermined state register which is indicative of a number of vector elements to be processed in response to one vector instruction of the program loop body. For example the control parameter may be VEwidth which indicates the size of one vector element processed by the loop, which allows the number of elements to be processed per loop iteration to be determined, so that the loop counter can be updated on each iteration by a number of vector elements processed by the traverse of the loop body. Note that VEwidth is the size of one vector element as defined for processing of the loop. A separate vector element size IVEwidth may also be specified as one of the parameters of the vector instructions within the loop, which would often match VEwidth as specified for the loop as a whole, but does not need to be the same. Allowing separate element sizes to be specified for the loop as a whole and instructions within the loop can be useful to support cases where one "element" to be processed in the loop actually contains multiple components which are allocated to different vector elements of a vector instruction. For example, a complex number may have real and imaginary components, and complex arithmetic vector instructions may be supported which assume that the real and imaginary components of one or more numbers are allocated to alternating elements of the vector. In this case, even if the individual vector instructions specify 32-bit elements, for example, the loop as a whole may consider one "element" to have 64 bits as it comprises both the real and imaginary components. Hence, the references to VEwidth herein refer to the vector element size as seen by the loop control circuitry, which is not necessarily the same as the actual element size used by vector instructions within the loop.

[0079] The loop tail predication to mask (Nmax - loopcount) vector lanes on the final iteration of the loop may also depend on parameters stored in the predetermined state register. For example, the loop tail predication may depend on a flag indicating whether predication is required, and when predication is required, the number of active lanes to be performed on the final iteration is derivable from VEwidth stored in the predetermined state register (in combination with the loop counter "loopcount" stored in the link register). At the end of the loop, any loop tail predication being applied can be disabled by resetting the flag in the predetermined state register so that vector instructions subsequent to the loop will operate without tail predication. Alternatively, whether predication is required could be determined directly from the loopcount and VEwidth, so that no explicit predication flag may be needed. Here, loop tail predication refers to the functionality where, when Nve/Nmax does not equal a whole number, where Nve is a total number of vector elements (of size VEwidth) to be processed during a number of iterations of the program loop body and Nmax is a maximum number of vector elements (again of size VEwidth) to be processed in one iteration of the program loop body, the loop control circuitry 1000 at least partially suppresses processing in one or more of said vector processing lanes during one of more of said iterations such that a total number of vector elements processed during said iterations is Nve. Although the examples below describe cases where the loop tail predication is applied to the final loop iteration, other implementations could also apply the loop tail predication in an earlier loop iteration to give the same outcome. Note that the predication of one element of size VEwidth may require more than one element of size IVEwidth to be masked for an individual vector instruction within the loop in cases where VEwidth > IVEwidth as discussed above.

[0080] However, the predetermined state register may be a register which is not always accessible. For example, the predetermined state register may be a register associated with floating point context data, e.g. a floating point control register 1110 (see Figure 8) which is one of the floating point registers 110 shown in Figure 1. To reduce the amount of state that needs to be saved on a context switch, processes which do not require floating point operations are not allocated floating point state by default, and a floating point state accessibility flag (or lazy state saving status flag) stored in a control register may indicate whether the floating point context is accessible to the current process. On a context switch, if the state accessibility flag indicates that the floating point context is not currently accessible, the floating point context does not need to be saved to memory. In response to an instruction requiring the floating-point context when the floating point context is not currently accessible, a lazy state saving operation is performed to save any previous context data stored in the floating point registers to memory, and then the floating point context registers are made accessible to the current process and the state accessibility flag updated to indicate that the floating point context is now accessible.

[0081] Another situation in which the predetermined state register may be inaccessible is if access permissions have been defined which prohibit the current privilege level or process from accessing that register. For example, some systems may provide permissions checking circuitry 1008 (see Figure 8) to check access permission data for controlling access to a particular subset of registers including the predetermined state register (e.g. the floating point registers), and to trigger a fault condition when an access to one of the subset of registers violating said access permission data is detected. The fault may trigger an operating system to review the access permissions and if necessary save privileged data currently stored in the registers to memory so that it can be hidden from the unprivileged process that triggered the fault, before changing the access permissions to make the subset of registers accessible to the process/privilege level that triggered the fault, and returning processing to the unprivileged process.

[0082] Even if the floating point context is made accessible at the start of the loop for setting up the required vector element width VEwidth, it cannot be assumed that the floating point context will still be accessible at the end of the loop, since it is possible an exception may have occurred during the loop which led to the current process's context being invalidated, and upon restoration of that process's context following the exception, the floating point context would not be restored until it is actually needed by an instruction associated with the restored process.

[0083] Hence, at the end of the loop, the predetermined state register storing the parameter used for controlling the loop count decrementing and/or the loop tail predication may not be accessible. To be able to reset the loop tail predication information one would expect to provide the functionality for triggering lazy state saving or a fault condition at the end of the loop to allow the predetermined state register to be made accessible. However, this approach can be problematic because triggering lazy state saving operations or a fault condition from the loop control circuitry 1000 without being triggered by an instruction would often be undesirable as the architectural mechanisms for handling faults or state saving may assume that these operations are triggered at a particular instruction address. To improve performance it may be preferred to omit execution of the loop-end instruction altogether on the final iteration of the loop (so that in response to detecting that no further loop iterations are required when the loop control data is valid, the loop control circuitry is configured to control the processing circuitry to continue program execution from an instruction after said loop-end instruction). It may not be appropriate to trigger the fault condition or state saving in response to the instruction preceding or following the loop-end instruction. Hence, there may not be an appropriate instruction at the end of the loop for triggering the lazy state saving or fault condition for making the floating point context accessible when required. Also, the loop control circuitry 1000 may be associated with the fetch stage of an instruction pipeline, which would not normally have paths for triggering register accesses, so it would be difficult and expensive in terms of circuit area to allow the fetch stage to trigger state saving.

[0084] These issues can be addressed by instead triggering the lazy state saving operation or fault condition in response to the loop end instruction, which would be executed on the very first iteration of the loop or at the end of an iteration in which an exception occurred (as the exception would invalidate the loop control data, the loop-end instruction would be re-executed at the end of that iteration). Hence, in response to the loop-end instruction when a subset of registers including the predetermined state register is inaccessible, the loop-end instruction decoding circuitry may generate state saving control signals to trigger saving to memory of state data stored in said subset of registers and to make said subset of registers accessible. By triggering the state saving operation in response to the loop-end instruction rather than on completion of the loop, it is not necessary to execute the loop-end instruction at the end of the final loop iteration merely as a precaution in case the floating-point state has become inaccessible, allowing performance to be improved. Similarly, in case the access permission data prohibits access to a subset of registers including the predetermined state register, in response to the loop-end instruction the loop-end instruction decoding circuitry may generate control signals to trigger an access to the subset of registers. Hence, if access is not currently permitted by the permissions checking circuitry 1008, a fault will be generated at the time of executing the loop end instruction, allowing the operating system to step in to grant the necessary permissions once any sensitive data has been saved to memory and invalidated in that subset of registers. These operations in response to the loop-end instruction also ensure that the loop control circuitry 1000 can access the VEwidth parameter for controlling decrementing of the loop counter.

[0085] At the end of the final iteration of the loop, in response to detecting that no further loop iterations are required following an iteration of the program loop body, the loop control circuitry disables suppression of processing in said one or more of said vector processing lanes. For example, the loop control circuitry may control the suppression of processing in one or more of said vector processing lanes in dependence on a control parameter stored in a predetermined state register, and in response to detecting that no further loop iterations are required following an iteration of the program loop body, the loop control circuitry 1000 may determine whether the predetermined state register is accessible, and when the predetermined state register is determined to be accessible, to generate predication disabling control signals for disabling the suppression of processing in the one or more of said vector processing lanes by updating the control parameter stored in the predetermined state register. The loop control circuitry 1000 suppresses generation of the predication disabling control signals when the predetermined state register is determined to be inaccessible.

[0086] That is, the loop control circuitry 1000 only resets the parameter in the predetermined state register to disable loop tail predication when the predetermined state register is already accessible. When the predetermined state register is not already accessible, the loop end instruction will be encountered as events which could lead to the register becoming inaccessible (e.g. an exception, fault, or context switch) will also invalidate the loop control data from the loop control cache 1004. Hence, there is no need for the loop control circuitry 1000 to trigger the lazy state saving or fault triggering operations - if required at the end of the loop this will instead be triggered by the loop-end instruction decoding circuitry 123 in response to the loop-end instruction.

[0087] For the reasons given above, it is useful for the loop-end instruction to trigger a check of whether the predetermined state register storing the control parameters specifying the element width and loop tail predication control information is accessible, and trigger a fault condition or lazy state saving if the register is inaccessible. Some implementations could always trigger such state saving or fault condition in response to a loop-end instruction when the required predetermined state register is inaccessible.

[0088] However, in practice not all loops require predication of lanes at the tail of the loop. For example, if the loop is to be processed using scalar instructions or vector instructions with element size equal to the register width, only one element is processed per iteration and so the total number of elements is always an exact multiple of the vector length. Hence, on such loops, access to VEwidth or the loop tail predication control parameter is not required. To avoid incurring the performance cost of triggering lazy state saving or a fault condition for non-predicated loops, two versions of the loop start and end instructions are provided:
  • DLS: non-predicated loop start instruction: specifies the loop end address 'loopend' and the number of iterations rN, but not the vector element size, and so no change is made to the VEwidth parameter in the floating point control register. VEwidth is by default assumed to be equal to the number of bits in one vector register VRwidth.
  • DLSP: predicated loop-start instruction: specifies the loop end address 'loopend' and the number of iterations rN, and also specifies a 'size' parameter indicating the element width VEwidth for vector instructions. The element width is stored to the floating point control register. If the floating point context is not already accessible, the DLSP instruction triggers a lazy state saving operation to save previous floating point context to memory, and the floating point context is made accessible to the current process, before storing the element width to the floating point control register.
  • DLE: non-predicated loop-end instruction: used following the DLS instruction. If executed when the floating point context is inaccessible, the loop-end instruction decoding circuitry does not trigger any lazy state saving of the floating point context to memory.
  • DLEP: predicated loop-end instruction: used following the DLSP instruction. If executed when the floating point context is inaccessible, the loop-end instruction decoding circuitry generates control signals to trigger saving to memory of the floating point context, and makes the floating point context accessible. The DLEP instruction also triggers an access to one of the floating point context registers (e.g. the floating point control register storing VEwidth) to ensure that a fault condition is triggered by the access permission checking circuitry 1008 if the floating point context is not permitted to be accessed for the current process/privilege level.


[0089] At the end of each iteration of the loop, the loop control circuitry decrements the loop counter by the number of elements processed in that iteration, which is derived from VEwidth read from the floating point control register. Hence, for non-predicated loops VEwidth = VRwidth, so the loop counter is decremented by 1 for each iteration. For predicated loops, the number of elements processed per iteration is VRwidth/VEwidth (VRwidth may be fixed for a given hardware implementation so may not need to be indicated explicitly in a control register).

[0090] By providing two versions of the loop-end instruction, then even if an exception occurs midway through a predicated loop so that the context data is flushed and the floating point context becomes inaccessible to the current process again following the return from the exception, as this will also invalidate the loop control data, the predicated loop-end instruction will be executed at the end of the loop, and so can trigger the lazy state saving operation or fault condition if required to make the floating point context accessible again. However, for non-predicated loops the overhead of triggering the lazy state saving operation or fault condition can be avoided by using the non-predicated loop-end instruction. Hence, in summary the loop-end instruction decoding circuitry may select whether to generate the state saving control signals, in dependence on whether the loop-end instruction is a first type of loop-end instruction or a second type of loop-end instruction. Similarly, whether an access to the subset of registers including the predetermined state register is triggered in response to the loop-end instruction may depend on whether the loop-end instruction is the first type or second type of loop-end instruction.

[0091] Figures 14A to 14E show examples of execution of non-predicated and predicated loops to illustrate the features described above.

[0092] Figure 14A shows an example of a non-predicated loop where no exception occurs during execution of the loop. The non-predicated loop-start instruction DLS is executed at the start of the loop to set up the loop end address and the number of iterations to be executed. As this is a non-predicated loop-start instruction, the element width VEwidth parameter in the floating point control register remains unchanged. If the current process does not already have access to floating point context, no access is required and so no lazy state saving operation or fault condition is triggered. At the end of the first loop iteration, the non-predicated loop-end instruction DLE is executed to set up the loop start address for controlling subsequent iterations, and again no access to the floating point control register is required, so even if the floating-point context accessibility flag indicates that the floating point context is not currently accessible, no lazy state saving operation or fault condition is triggered. On subsequent iterations of the loop, the loop-start and loop-end instructions are not executed and program flow proceeds through multiple iterations of the program loop body. At the end of each iteration, since the floating point context is inaccessible, the loop control circuitry 1000 does not access the floating point control register and assumes that VEwidth = VRwidth, i.e. the number of elements per vector is 1, and so decrements the loop counter by 1. On the final iteration, no vector loop predication is applied, and on detecting that no further iterations are needed, the loop control circuitry 1000 controls program flow to jump from the instruction preceding the loop-end instruction DLE to the instruction after DLE, skipping the loop-end instruction and continuing processing of subsequent instructions after the loop.

[0093] Figure 14B shows an example of a predicated loop where no exception occurs during the execution of the loop. This time the loop-start instruction is a predicated loop-start instruction DLSP and so in addition to setting up the loop control data specifying the loop end address and number of iterations to be performed, the loop-start instruction decoding circuitry also triggers updating of the vector element width VEwidth specified in the floating point control register based on a 'size' parameter specified by the DLSP instruction. Hence, if the floating point context is not already accessible, the lazy state saving operation or fault condition is triggered to make the context accessible so that VEwidth can be updated. At the end of the first loop iteration, the predicated loop-end instruction DLEP is executed to set up the loop start address. The loop-end instruction decoding circuitry detects from the state accessibility flag that the floating-point context is already accessible, so there is no need for lazy state saving, and the access to the floating point control register triggered in response to the loop end instruction DLEP does not trigger a fault. The subsequent iterations of the loop are then executed without executing the loop start/end instructions. On each iteration of the loop, the loop control circuitry 1000 determines the number of elements processed in the iteration based on VEwidth read from the floating point control register, and decrements the loop counter by the determined number of elements. On the final iteration of the loop, if the number of remaining elements to be processed as indicated by the loop counter is less than the number of elements to be processed by one vector instruction, the loop control circuitry 1000 applies predication to the unneeded vector lanes, and the portions of the vector to be masked are determined from VEwidth and the loop counter. At the end of the final iteration, the loop control circuitry 1000 detects that no further iterations are required and so the DLEP instruction is skipped. As the floating point context is already accessible, the VEwidth field in the floating point control register is reset to the width of the vector registers to disable loop tail predication for subsequent vector instructions, and no lazy state saving or fault condition is required.

[0094] Figure 14C shows an example of a non-predicated loop when an exception occurs during the loop. The non-predicated loop-start and loop-end instructions DLS, DLE are executed in the same way as described for Figure 14A, and the loop counter is decremented by 1 on each iteration of the loop as described for Figure 14A. An exception occurs on the third iteration, which triggers state saving of the interrupted thread's context. If the floating point context is indicated as accessible, the state saving includes saving of floating point context, while if the floating point context is inaccessible then the state saving saves integer context data but not floating point context data. On return from the exception, the integer state data is restored and the floating point context data is initially inaccessible. A lazy state preservation flag is set to indicate that lazy state preservation is active, so that if any subsequent instruction of the loop requires floating point context data, this will trigger lazy state restoration of the floating point context. If no instructions requiring the floating point context data are encountered, then when the loop end instruction DLE is reached the floating point context will still be inaccessible. Since the loop control data was previously invalidated on occurrence of the exception, the loop end instruction DLE is executed to reset the loop control data,. As this is a non-predicated loop end instruction DLE, the loop-end instruction does not trigger lazy state saving or an access to the floating point control register, even if the floating point context is inaccessible. Having restored the loop control data, the subsequent iterations of the loop continue in the same way as in Figure 14A, and the final iteration of the loop is handled in the same way as Figure 14A. Hence, the DLE instruction is skipped when no iterations remain to be processed, and so no lazy state preservation or fault condition is triggered on the final iteration even if the floating point context is inaccessible. If the lazy state preservation flag has not yet been cleared since returning from the exception, it remains active and so the next instruction that requires floating point context after the loop will trigger lazy state saving. Furthermore if the lazy state preservation flag has not yet been cleared since returning from the exception the loop control circuitry will not attempt to reset VEwidth in the floating point control register to the width of the vector register.

[0095] Figure 14D shows an example of a predicated loop when an exception occurs during the loop. On the first iteration, the predicated loop start/end instructions DLSP and DLEP are executed in the same way as in Figure 14B. An exception occurs on the third iteration of the loop. This triggers a context switch to the exception handler or another thread and back again, which is handled in the same way as in Figure 14C. However, for the predicated loop, on return to the loop body instructions with the lazy state preservation flag (state accessibility flag) set active, the decoding of the predicated loop-end instruction DLEP triggers the lazy state saving operation (if no earlier instruction in the loop body required floating point context) and also triggers an access to the floating-point control register so that if the access permissions for the current process do not permit access to the floating point registers then a fault condition can be raised to trigger the operating system to grant the required permissions. Hence, after encountering the predicated loop-end instruction, the floating point context becomes available and so it is possible to read the VEwidth parameter for controlling updating of the loop counter and to access the loop tail predication parameter for controlling predication on the last iteration of the loop. If more than one exception occurs during the loop, each exception is handled in a similar way to the one shown in Figure 14D. On the final loop iteration the loop tail predication is applied to control masking of unused vector lanes to ensure that the total number of elements processed in the loop matches the number specified by the loop-start instruction. At the end of the final loop iteration, the loop control circuitry 1000 detects that the lazy state saving flag is inactive and so the floating point context is already accessible, and so resets VEwidth in the floating point control register to the width of the vector registers to disable suppression of processing of those vector lanes which were predicated during the loop.

[0096] Figure 14E shows an example of a predicated loop where the exception occurs on the final iteration of the loop. On the first iteration the loop start/end instructions DLSP and DLEP are handled in the same way as in Figure 14B or Figure 14D, and also the decrementing of the loop counter on each iteration is handled in the same way. However, at point *2 an exception occurs on the final iteration, which triggers a context switch. The previous floating point context (including the predication element width VEwidth) is saved to memory and then the exception is processed. On returning from the exception the integer context associated with the thread running the loop is restored, but the floating point context is not restored and so the lazy state saving flag is set active. In this example, the first instruction executed after returning from the exception is a scalar instruction SI1 which does not require floating point state, and so does not trigger lazy state saving. While the predication element width VEwidth in the floating-point control register is inaccessible, this does not matter as the instruction is a scalar instruction and so does not require predication. When the first vector instruction VI2 is encountered at point *3, this is considered to be an instruction which requires the floating-point context (aside from requiring the predication element width VEwidth from the floating-point control register, in some architectures the vector registers may overlap with floating-point registers and so execution of a vector instruction when the lazy state saving flag is active may anyway cause lazy state preservation to occur in order to making the vector registers accessible). As the lazy state saving flag is active, the vector instruction VI2 triggers the lazy state saving operation, which makes the floating-point context accessible and allows the VEwidth to be restored so that predication is re-enabled for the remainder of the final iteration of the loop.

[0097] In some embodiments the lazy state preservation process just preserves the floating point context within the registers and does not restore the floating point context associated with the thread that triggered the lazy state preservation. In such embodiments the operating system configures the access permissions so that in addition to triggering lazy state preservation, attempts to access the floating point state also trigger a fault which the operating system can respond to and restore the floating point context associated with the thread that triggered the fault.

[0098] Figure 15 schematically illustrates a virtual machine embodiment suitable for implementing the techniques described herein in some example embodiment. Whilst the earlier described embodiments implement the present invention in terms of apparatus and methods for operating specific processing hardware supporting the techniques concerned, it is also possible to provide so-called virtual machine implementations of hardware devices. These virtual machine implementations run on a host processor 530 running a host operating system 520 supporting a virtual machine program 510. Typically, large powerful processors are required to provide virtual machine implementations which execute at a reasonable speed, but such an approach may be justified in certain circumstances, such as when there is a desire to run code native to another processor for compatibility or re-use reasons. The virtual machine program 510 provides an application program interface to an application program 500 which is the same as the application program interface which would be provided by the real hardware which is the device being modelled by the virtual machine program 510. Thus, the program instructions, including the control of memory accesses described above, may be executed from within the application program 500 using the virtual machine program 510 to model their interaction with the virtual machine hardware.

[0099] Although illustrative embodiments have been described in detail herein with reference to the accompanying drawings, it is to be understood that the claims are not limited to those precise embodiments, and that various changes, additions and modifications can be effected therein by one skilled in the art without departing from the scope of the appended claims. For example, various combinations of the features of the dependent claims could be made with the features of the independent claims.


Claims

1. Apparatus for processing data comprising:

processing circuitry (108, 112, 114, 116) to perform processing operations specified by a sequence of program instructions;

an instruction decoder (106) to decode said sequence of program instructions to generate control signals to control said processing circuitry to perform said processing operations; wherein

said instruction decoder comprises branch-future instruction decoding circuitry (122) to decode a branch-future instruction, said branch-future instruction having a programmable parameter associated with a branch target address and further programmable branch point data parameter indicative of a predetermined instruction following said branch-future instruction within said sequence of program instructions; and

said processing circuitry comprises branch control circuitry (124) controlled by said branch-future instruction decoding circuitry and responsive to said branch point data to trigger a branch to processing of program instructions starting from a branch target instruction corresponding to said branch target address when processing of said sequence of program instructions reaches said predetermined instruction, wherein when said branch control circuitry triggers said branch, said branch target instruction follows an instruction immediately preceding said predetermined instruction in said sequence of program instructions, and an operation specified by said branch-future instruction and an operation specified by said instruction immediately preceding said predetermined instruction are performed contiguously by said processing circuitry.


 
2. Apparatus as claimed in claim 1, wherein, said branch point data comprises one or more of:

address data indicative of an address of said predetermined instruction;

end data indicative of an address of a last instruction that immediately precedes said predetermined instruction;

offset data indicative of a distance between said branch-future instruction and said predetermined instruction;

a proper subset of bits indicative of a memory storage address of said predetermined instruction starting from a least significant bit end of bits of said memory storage address that distinguish between starting storage addresses of instructions;

remaining size instruction data indicative of a number of instructions remaining to be processed before said predetermined instruction; and

remaining size data indicative of a number of program storage locations remaining to be processed before said predetermined instruction is reached.


 
3. Apparatus as claimed in any preceding claim, wherein said branch-future instruction decoder circuitry controls said processing circuitry to store said branch point data and said branch target address in a branch control cache.
 
4. Apparatus as claimed in claim 3, wherein, when said branch-future instruction decoding circuitry decodes said branch-future instruction, said branch control circuitry stores a proper subset of bits indicative of a memory storage address of said predetermined instruction starting from a least significant bit end of bits of said memory storage address that distinguish between starting storage addresses of instructions.
 
5. Apparatus as claimed in claim 4, wherein said branch control circuitry triggers said branch when said proper subset of bits matches one of:

a corresponding portion of a value indicative of a program counter value;

a corresponding portion of a value indicative of an instruction fetch address; and

a value indicative of processing activity of said data processing apparatus.


 
6. Apparatus as claimed in claim 3, wherein in response to one or more of:

said processing circuitry being reset;

loop control circuitry or a loop-end instruction determining that no further iterations of a program loop body are required;

an exception being entered;

exception tail-chaining whereby processing proceeds directly from processing a current exception to processing a next exception without restoring state prior to said current exception;

execution of a branch instruction with greater than a predetermined immediate target address range;

execution of a branch instruction;

execution of a loop-start instruction;

returning from an exception;

execution of an instruction that causes an instruction cache of said data processing apparatus to be invalidated;

execution of an instruction that disables caching of said control data;

execution of an instruction that disables branch prediction;

said processing circuitry determining that a branch within a program loop body targets an address that is not between a loop-start instruction and a loop-end instruction;

a switch between a secure mode of operation and a non-secure mode of operation; and

one or more implementation defined conditions;

said branch point data is invalidated.


 
7. Apparatus as claimed in claim 6, wherein, when said branch point data has been invalidated, program flow advances to said predetermined instruction.
 
8. Apparatus as claimed in any preceding claim, wherein said branch-future instruction is a conditional branch-future instruction executed in dependence upon one or more condition codes associated with said conditional branch-future instruction being met.
 
9. Apparatus as claimed in claim 8, wherein in response to said conditional branch-future instruction when said one or more condition codes are not met, the branch-future instruction decoding circuitry is configured to set branch control data to control said branch control circuitry to trigger a branch to processing of a branch-bypass instruction following said subsequent branch instruction in the sequence of program instructions when processing of said sequence of program instructions reaches said predetermined instruction.
 
10. Apparatus as claimed in claim 9, wherein said conditional branch-future instruction specifies a bypass parameter indicative of an address of said branch-bypass instruction relative to an address of said predetermined instruction.
 
11. Apparatus as claimed in claim 10, wherein said instruction decoder is configured to decode instructions having a variable instruction length selected from a plurality of instruction lengths supported by the instruction decoder; and
said bypass parameter is indicative of an instruction length of said subsequent branch instruction.
 
12. Apparatus as claimed in any of claims 8 to 11, wherein in response to said conditional branch-future instruction when said one or more condition codes are met and a branch control cache already stores valid branch control data set in response to an earlier branch future instruction, said branch-future instruction decoder circuitry is configured to control said processing circuitry to overwrite said valid branch control data; and
in response to said conditional branch-future instruction when said one or more condition codes are not met and said branch control cache already stores valid branch control data set in response to an earlier branch future instruction, said branch-future instruction decoder circuitry is configured to control said processing circuitry to retain said valid branch control data in said branch control cache.
 
13. Apparatus as claimed in any of claims 1 to 12, wherein said programmable parameter associated with a branch target address comprises one of:

a register specifier, and said branch target address is stored in a register corresponding to said register specifier; and.

an immediate offset value indicating said branch-target address by an offset from a current memory address dependent upon a memory address of said branch-future instruction.


 
14. A method of processing data comprising:

performing processing operations specified by a sequence of program instructions;

decoding said sequence of program instructions to generate control signals to control said performing of said processing operations; wherein

said decoding including decoding (901) a branch-future instruction, said branch-future instruction having programmable parameters respectively associated with a branch target address and branch point data indicative of a predetermined instruction following said branch-future instruction within said sequence of program instructions; and

triggering (903) a branch to processing of program instructions starting from a branch target instruction corresponding to said branch target address when processing of said sequence of program instructions reaches said predetermined instruction, wherein when said branch is triggered, said branch target instruction follows an instruction immediately preceding said predetermined instruction in said sequence of program instructions, and an operation specified by said branch-future instruction and an operation specified by said instruction immediately preceding said predetermined instruction are performed contiguously by said processing circuitry.


 
15. A computer program product storing in non-transitory form a computer program for controlling an apparatus according to claim 1 to provide a virtual machine execution environment operating in accordance with a method of claim 14.
 


Ansprüche

1. Vorrichtung zur Verarbeitung von Daten, umfassend:

eine Verarbeitungsschaltung (108, 112, 114, 116) zum Durchführen von Verarbeitungsoperationen, die durch eine Sequenz von Programmbefehlen spezifiziert werden;

einen Befehlsdecodierer (106) zum Decodieren der Sequenz von Programmbefehlen, um Steuersignale zum Steuern der Verarbeitungsschaltung, die Verarbeitungsoperationen durchzuführen, zu erzeugen; wobei

der Befehlsdecodierer eine Verzweigungszukunftsbefehl-Decodierungsschaltung (122) zum Decodieren eines Verzweigungszukunftsbefehls umfasst, wobei der Verzweigungszukunftsbefehl einen mit einer Verzweigungszieladresse assoziierten programmierbaren Parameter und einen weiteren Verzweigungspunktdatenparameter, der einen vorbestimmten Befehl im Anschluss an den Verzweigungszukunftsbefehl in der Sequenz von Programmbefehlen angibt, aufweist; und

die Verarbeitungsschaltung eine Verzweigungssteuerschaltung (124) umfasst, die durch die Verzweigungszukunftsbefehl-Decodierungsschaltung gesteuert wird und als Reaktion auf die Verzweigungspunktdaten auslöst, dass eine Verzweigung Programmbefehle angefangen von einem Verzweigungszielbefehl entsprechend der Verzweigungszieladresse verarbeitet, wenn die Verarbeitung der Sequenz von Programmbefehlen den vorbestimmten Befehl erreicht, wobei, wenn die Verzweigungssteuerschaltung die Verzweigung auslöst, der Verzweigungszielbefehl einem Befehl unmittelbar vor dem vorbestimmten Befehl in der Sequenz von Programmbefehlen folgt, und eine durch den Verzweigungszukunftsbefehl spezifizierte Operation und eine durch den Befehl unmittelbar vor dem vorbestimmten Befehl spezifizierte Operation durch die Verarbeitungsschaltung fortlaufend durchgeführt werden.


 
2. Vorrichtung nach Anspruch 1, wobei die Verzweigungspunktdaten eines oder mehrere der Folgenden umfassen:

Adressdaten, die eine Adresse des vorbestimmten Befehls angeben;

Enddaten, die eine Adresse eines letzten Befehls angeben, der dem vorbestimmten Befehl unmittelbar vorausgeht;

Offsetdaten, die einen Abstand zwischen dem Verzweigungszukunftsbefehl und dem vorbestimmten Befehl angeben;

eine echte Teilmenge von Bits, die eine Speicher-Speicherungsadresse des vorbestimmten Befehls angefangen von einem Niederwertigstes-Bit-Ende von Bits der Speicher-Speicherungsadresse, die zwischen Start-Speicherungsadressen von Befehlen unterscheiden, angeben;

Daten der verbleibenden Größe von Befehlen, die eine Anzahl von Befehlen angeben, die vor dem vorbestimmten Befehl noch zu verarbeiten sind; und

Daten einer verbleibenden Größe, die eine Anzahl von Programmspeicherungsorten angeben, die noch zu verarbeiten sind, bevor der vorbestimmte Befehl erreicht wird.


 
3. Vorrichtung nach einem vorangegangenen Anspruch, wobei die Verzweigungszukunftsbefehl-Decodierungsschaltung die Verarbeitungsschaltung steuert, die Verzweigungspunktdaten und die Verzweigungszieladresse in einem Verzweigungssteuercache zu speichern.
 
4. Vorrichtung nach Anspruch 3, wobei, wenn die Verzweigungszukunftsbefehl-Decodierungsschaltung den Verzweigungszukunftsbefehl decodiert, die Verzweigungssteuerschaltung eine echte Teilmenge von Bits speichert, die eine Speicher-Speicherungsadresse des vorbestimmten Befehls angefangen von einem Niederwertigstes-Bit-Ende von Bits der Speicher-Speicherungsadresse, die zwischen Start-Speicherungsadressen von Befehlen unterscheiden, angeben.
 
5. Vorrichtung nach Anspruch 4, wobei die Verzweigungssteuerschaltung die Verzweigung auslöst, wenn die echte Teilmenge von Bits mit einem der Folgenden übereinstimmt:

einem entsprechenden Teil eines Werts, der einen Programmzählerwert angibt;

einem entsprechenden Teil eines Werts, der eine Befehlsabrufadresse angibt; und

einem Wert, der eine Verarbeitungsaktivität der Datenverarbeitungsvorrichtung angibt.


 
6. Vorrichtung nach Anspruch 3, wobei als Reaktion auf eines oder mehrere der Folgenden:

dass die Verarbeitungsschaltung zurückgesetzt wird;

dass, eine Schleifensteuerschaltung oder ein Schleifenendebefehl bestimmt, dass keine weiteren Iterationen eines Programmschleifenkörpers erforderlich sind;

dass in eine Ausnahme eingetreten wird;

Ausnahmeendenverkettung, bei der die Verarbeitung von der Verarbeitung einer aktuellen Ausnahme direkt zur Verarbeitung einer nächsten Ausnahme übergeht, ohne einen Zustand vor der aktuellen Ausnahme wiederherzustellen;

Ausführung eines Verzweigungsbefehls mit einem größeren als einem vorbestimmten unmittelbaren Zieladressbereich;

Ausführung eines Verzweigungsbefehls;

Ausführung eines Schleifenstartbefehls;

Zurückkehren von einer Ausnahme;

Ausführung eines Befehls, der veranlasst, dass ein Befehlscache der Datenverarbeitungsvorrichtung invalidiert wird;

Ausführung eines Befehls, der Caching der Steuerdaten deaktiviert;

Ausführung eines Befehls, der Verzweigungsprädiktion deaktiviert;

dass die Verarbeitungsschaltung bestimmt, dass eine Verzweigung in einem Programmschleifenkörper eine Adresse anzielt, die nicht zwischen einem Schleifenstartbefehl und einem Schleifenendebefehl liegt;

einem Wechsel zwischen einem sicheren Betriebsmodus und einem nicht sicheren Betriebsmodus; und

eine oder mehrere implementierungsdefinierte Bedingungen;

die Verzweigungspunktdaten invalidiert werden.


 
7. Vorrichtung nach Anspruch 6, wobei, wenn die Verzweigungspunktdaten invalidiert wurden, der Programmfluss zu dem vorbestimmten Befehl vorrückt.
 
8. Vorrichtung nach einem der vorangegangenen Ansprüche, wobei der Verzweigungszukunftsbefehl ein konditioneller Verzweigungszukunftsbefehl ist, der in Abhängigkeit davon ausgeführt wird, dass ein oder mehrere Bedingungscodes, die mit dem Verzweigungszukunftsbefehl assoziiert sind, erfüllt sind.
 
9. Vorrichtung nach Anspruch 8, wobei als Reaktion auf den konditionellen Verzweigungszukunftsbefehl, wenn der eine oder die mehreren Bedingungscodes nicht erfüllt sind, die Verzweigungszukunftsbefehl-Decodierungsschaltung dazu ausgelegt ist, Verzweigungssteuerdaten zu setzen, um die Verzweigungssteuerschaltung zu steuern, eine Verzweigung zur Verarbeitung eines Verzweigungsumgehungsbefehls im Anschluss an den subsequenten Verzweigungsbefehl in der Sequenz von Programmbefehlen auszulösen, wenn die Verarbeitung der Sequenz von Programmbefehlen den vorbestimmten Befehl erreicht.
 
10. Vorrichtung nach Anspruch 9, wobei der konditionelle Verzweigungszukunftsbefehl einen Umgehungsparameter spezifiziert, der eine Adresse des Verzweigungszukunftsbefehls relativ zu einer Adresse des vorbestimmten Befehls angibt.
 
11. Vorrichtung nach Anspruch 10, wobei der Befehlsdecodierer dazu ausgelegt ist, Befehle mit einer variablen Befehlslänge, die aus mehreren durch den Befehlsdecodierer unterstützten Befehlslängen ausgewählt wird, zu decodieren; und
der Umgehungsparameter eine Befehlslänge des subsequenten Verzweigungsbefehls angibt.
 
12. Vorrichtung nach einem der Ansprüche 8 bis 11, wobei als Reaktion auf den konditionellen Verzweigungszukunftsbefehl, wenn der eine oder die mehreren Bedingungscodes erfüllt sind und ein Verzweigungssteuercache schon gültige Verzweigungssteuerdaten speichert, die als Reaktion auf einen früheren Verzweigungszukunftsbefehl gesetzt wurden, die Verzweigungszukunftsbefehl-Decodierungsschaltung dazu ausgelegt ist, die Verarbeitungsschaltung zu steuern, die gültigen Verzweigungssteuerdaten zu überschreiben; und
als Reaktion auf den konditionellen Verzweigungszukunftsbefehl, wenn der eine oder die mehreren Bedingungscodes nicht erfüllt sind und der Verzweigungssteuercache schon gültige Verzweigungssteuerdaten speichert, die als Reaktion auf einen früheren Verzweigungszukunftsbefehl gesetzt wurden, die Verzweigungszukunftsbefehl-Decodierungsschaltung dazu ausgelegt ist, die Verarbeitungsschaltung zu steuern, die gültigen Verzweigungssteuerdaten in dem Verzweigungssteuercache zu behalten.
 
13. Vorrichtung nach einem der Ansprüche 1 bis 12, wobei der mit einer Verzweigungszieladresse assoziierte programmierbare Parameter eines der Folgenden umfasst:

einen Registerspezifizierer, und wobei die Verzweigungszieladresse in einem Register entsprechend dem Registerspezifizierer gespeichert ist; und

einen unmittelbaren Offsetwert, der die Verzweigungszieladresse durch einen Offset von einer aktuellen Speicheradresse in Abhängigkeit von einer Speicheradresse des Verzweigungszukunftsbefehls angibt.


 
14. Verfahren zum Verarbeiten von Daten, umfassend:

Durchführen von Verarbeitungsoperationen, die durch eine Sequenz von Programmbefehlen spezifiziert werden;

Decodieren der Sequenz von Programmbefehlen, um Steuersignale zum Steuern des Durchführens der Verarbeitungsoperationen zu erzeugen; wobei das Decodieren Decodieren (901) eines Verzweigungszukunftsbefehls beinhaltet, wobei der Verzweigungszukunftsbefehl programmierbare Parameter, die jeweils mit einer Verzweigungszieladresse assoziiert sind, und Verzweigungspunktdaten, die einen vorbestimmten Befehl im Anschluss an den Verzweigungszukunftsbefehl in der Sequenz von Programmbefehlen angeben, aufweist; und

Auslösen (903) einer Verzweigung zur Verarbeitung von Programmbefehlen angefangen von einem Verzweigungszielbefehl entsprechend der Verzweigungszieladresse, wenn die Verarbeitung der Sequenz von Programmbefehlen den vorbestimmten Befehl erreicht, wobei, wenn die Verzweigung ausgelöst wird, der Verzweigungszielbefehl einem Befehl unmittelbar vor dem vorbestimmten Befehl in der Sequenz von Programmbefehlen folgt, und eine durch den Verzweigungszukunftsbefehl spezifizierte Operation und eine durch den Befehl unmittelbar vor dem vorbestimmten Befehl spezifizierte Operation durch die Verarbeitungsschaltung zusammenhängend durchgeführt werden.


 
15. Computerprogrammprodukt, das eine nichtflüchtige Form eines Computerprogramms zum Steuern einer Vorrichtung nach Anspruch 1 speichert, um eine virtuelle Maschinenausführungsumgebung bereitzustellen, die gemäß einem Verfahren nach Anspruch 14 arbeitet.
 


Revendications

1. Appareil de traitement de données comprenant :

un circuit de traitement (108, 112, 114, 116) pour effectuer des opérations de traitement spécifiées par une séquence d'instructions de programme ;

un décodeur d'instructions (106) pour décoder ladite séquence d'instructions de programme afin de générer des signaux de contrôle pour contrôler ledit circuit de traitement afin d'effectuer lesdites opérations de traitement ; où

ledit décodeur d'instructions comprend un circuit de décodage d'instructions de branchement futur (122) pour décoder une instruction de branchement futur, ladite instruction de branchement futur ayant un paramètre programmable associé à une adresse cible de branchement et un autre paramètre de données de point de branchement programmable indicatif d'une instruction prédéterminée suivant ladite instruction de branchement futur dans ladite séquence d'instructions de programme ; et

ledit circuit de traitement comprend un circuit de contrôle de branchement (124) contrôlé par ledit circuit de décodage d'instruction de branchement futur et réagissant auxdites données de point de branchement pour déclencher un branchement vers le traitement d'instructions de programme commençant à une instruction cible de branchement correspondant à ladite adresse cible de branchement lorsque le traitement de ladite séquence d'instructions de programme atteint ladite instruction prédéterminée, où, lorsque ledit circuit de contrôle de branchement déclenche ledit branchement, ladite instruction cible de branchement suit une instruction précédant immédiatement ladite instruction prédéterminée dans ladite séquence d'instructions de programme, et une opération spécifiée par ladite instruction de branchement futur et une opération spécifiée par ladite instruction précédant immédiatement ladite instruction prédéterminée sont effectuées de manière contiguë par ledit circuit de traitement.


 
2. Appareil tel que revendiqué dans la revendication 1, dans lequel lesdites données de point de branchement comprennent un ou plusieurs des éléments suivants :

des données d'adresse indicatives d'une adresse de ladite instruction prédéterminée ;

des données de fin indicatives d'une adresse d'une dernière instruction qui précède immédiatement ladite instruction prédéterminée ;

des données de décalage indicatives d'une distance entre ladite instruction de branchement futur et ladite instruction prédéterminée ;

un sous-ensemble approprié de bits indicatifs d'une adresse de stockage en mémoire de ladite instruction prédéterminée commençant à la fin du bit le moins significatif des bits de ladite adresse de stockage en mémoire qui font la distinction entre les adresses de stockage de départ des instructions ;

des données d'instruction de taille restante indicatives du nombre d'instructions restant à traiter avant ladite instruction prédéterminée ; et

des données de taille restante indicatives du nombre d'emplacements de stockage de programme restant à traiter avant que ladite instruction prédéterminée soit atteinte.


 
3. Appareil tel que revendiqué dans l'une quelconque des revendications précédentes, dans lequel ledit circuit de décodage d'instruction de branchement futur contrôle ledit circuit de traitement pour stocker lesdites données de point de branchement et ladite adresse cible de branchement dans une mémoire cache de contrôle de branchement.
 
4. Appareil tel que revendiqué dans la revendication 3, dans lequel, lorsque ledit circuit de décodage d'instruction de branchement futur décode ladite instruction de branchement futur, ledit circuit de contrôle de branchement stocke un sous-ensemble approprié de bits indicatif d'une adresse de stockage en mémoire de ladite instruction prédéterminée commençant à la fin du bit le moins significatif des bits de ladite adresse de stockage en mémoire qui font la distinction entre les adresses de stockage de départ des instructions.
 
5. Appareil tel que revendiqué dans la revendication 4, dans lequel ledit circuit de contrôle de branchement déclenche ledit branchement lorsque ledit sous-ensemble de bits approprié correspond à l'un des éléments suivants :

une partie correspondante d'une valeur indicative d'une valeur de compteur de programme ;

une partie correspondante d'une valeur indicative d'une adresse de récupération d'instructions ; et

une valeur indicative de l'activité de traitement dudit appareil de traitement de données.


 
6. Appareil tel que revendiqué dans la revendication 3, dans lequel, en réponse à un ou plusieurs des éléments suivants :

ledit circuit de traitement étant réinitialisé ;

un circuit de contrôle de boucle ou une instruction de fin de boucle déterminant qu'aucune autre itération d'un corps de boucle de programme n'est nécessaire ;

une exception étant introduite ;

un enchaînement de queue d'exception, par lequel le traitement passe directement du traitement d'une exception courante au traitement d'une exception suivante sans restaurer l'état antérieur à ladite exception courante ;

l'exécution d'une instruction de branchement avec une plage d'adresses cibles immédiates plus étendue qu'une plage prédéterminée ;

l'exécution d'une instruction de branchement ;

l'exécution d'une instruction de démarrage de boucle ;

le retour depuis une exception ;

l'exécution d'une instruction qui provoque l'invalidation d'une mémoire cache d'instructions dudit appareil de traitement de données ;

l'exécution d'une instruction qui désactive la mise en cache desdites données de contrôle ;

l'exécution d'une instruction qui désactive la prédiction de branchement ;

ledit circuit de traitement déterminant qu'un branchement dans un corps de boucle de programme cible une adresse qui ne se trouve pas entre une instruction de début de boucle et une instruction de fin de boucle ;

une commutation entre un mode de fonctionnement sécurisé et un mode de fonctionnement non sécurisé ; et

une ou plusieurs conditions définies par la mise en Ĺ“uvre ;

lesdites données de point de branchement sont invalidées.


 
7. Appareil tel que revendiqué dans la revendication 6, dans lequel, lorsque lesdites données de point de branchement ont été invalidées, le déroulement du programme avance jusqu'à ladite instruction prédéterminée.
 
8. Dispositif tel que revendiqué dans l'une quelconque des revendications précédentes, dans lequel ladite instruction de branchement futur est une instruction de branchement futur conditionnel exécutée en fonction de la réalisation d'un ou plusieurs codes de condition associés à ladite instruction de branchement futur conditionnel.
 
9. Appareil tel que revendiqué dans la revendication 8, dans lequel, en réponse à ladite instruction de branchement futur conditionnel lorsque lesdits un ou plusieurs codes de condition ne sont pas satisfaits, le circuit de décodage d'instruction de branchement futur est configuré pour établir des données de contrôle de branchement pour contrôler ledit circuit de contrôle de branchement afin de déclencher un branchement vers le traitement d'une instruction de contournement de branchement suivant ladite instruction de branchement subséquente dans la séquence d'instructions de programme lorsque le traitement de ladite séquence d'instructions de programme atteint ladite instruction prédéterminée.
 
10. Appareil tel que revendiqué dans la revendication 9, dans lequel ladite instruction de branchement futur conditionnel spécifie un paramètre de contournement indicatif d'une adresse de ladite instruction de contournement de branchement par rapport à une adresse de ladite instruction prédéterminée.
 
11. Appareil tel que revendiqué dans la revendication 10, dans lequel ledit décodeur d'instructions est configuré pour décoder des instructions ayant une longueur d'instruction variable sélectionnée parmi une pluralité de longueurs d'instructions supportées par le décodeur d'instructions ; et
ledit paramètre de contournement est indicatif d'une longueur d'instruction de ladite instruction de branchement suivante.
 
12. Appareil tel que revendiqué dans l'une quelconque des revendications 8 à 11, dans lequel, en réponse à ladite instruction de branchement futur conditionnel lorsque lesdits un ou plusieurs codes de condition sont satisfaits et qu'une mémoire cache de contrôle de branchement stocke déjà un ensemble de données de contrôle de branchement valide en réponse à une instruction de branchement futur antérieure, ledit circuit de décodage d'instruction de branchement futur est configuré pour contrôler ledit circuit de traitement afin d'écraser lesdites données de contrôle de branchement valides ; et
en réponse à ladite instruction de branchement futur conditionnel lorsque lesdits un ou plusieurs codes de condition ne sont pas satisfaits et que ladite mémoire cache de contrôle de branchement stocke déjà un ensemble de données de contrôle de branchement valide en réponse à une instruction de branchement futur précédent, ledit circuit de décodage d'instruction de branchement futur est configuré pour contrôler ledit circuit de traitement afin de conserver lesdites données de contrôle de branchement valide dans ladite mémoire cache de contrôle de branchement.
 
13. Appareil tel que revendiqué dans l'une quelconque des revendications 1 à 12, dans lequel ledit paramètre programmable associé à une adresse cible de branchement comprend l'un des éléments suivants :

un spécificateur de registre, et ladite adresse cible de branchement est stockée dans un registre correspondant audit spécificateur de registre ; et

une valeur de décalage immédiat indiquant ladite adresse cible de branchement par un décalage par rapport à une adresse de mémoire courante dépendant d'une adresse de mémoire de ladite instruction de branchement futur.


 
14. Procédé de traitement de données comprenant les étapes suivantes :

exécuter des opérations de traitement spécifiées par une séquence d'instructions de programme ;

décoder ladite séquence d'instructions de programme pour générer des signaux de contrôle pour contrôler ladite exécution desdites opérations de traitement ; où ledit décodage comprenant le décodage (901) d'une instruction de branchement futur, ladite instruction de branchement futur ayant des paramètres programmables respectivement associés à une adresse cible de branchement et à des données de point de branchement indicatives d'une instruction prédéterminée suivant ladite instruction de branchement futur dans ladite séquence d'instructions de programme ; et

déclencher (903) un branchement vers le traitement d'instructions de programme commençant à une instruction cible de branchement correspondant à ladite adresse cible de branchement lorsque le traitement de ladite séquence d'instructions de programme atteint ladite instruction prédéterminée, où, lorsque ledit branchement est déclenché, ladite instruction cible de branchement suit une instruction précédant immédiatement ladite instruction prédéterminée dans ladite séquence d'instructions de programme, et une opération spécifiée par ladite instruction de branchement futur et une opération spécifiée par ladite instruction précédant immédiatement ladite instruction prédéterminée sont effectuées de manière contiguë par ledit circuit de traitement.


 
15. Produit programme informatique stockant sous forme non transitoire un programme informatique pour contrôler un appareil selon la revendication 1 afin de fournir un environnement d'exécution de machine virtuelle fonctionnant selon le procédé de la revendication 14.
 




Drawing













































































Cited references

REFERENCES CITED IN THE DESCRIPTION



This list of references cited by the applicant is for the reader's convenience only. It does not form part of the European patent document. Even though great care has been taken in compiling the references, errors or omissions cannot be excluded and the EPO disclaims all liability in this regard.

Non-patent literature cited in the description