(19)
(11) EP 0 697 730 B1

(12) EUROPEAN PATENT SPECIFICATION

(45) Mention of the grant of the patent:
24.11.1999 Bulletin 1999/47

(21) Application number: 95110478.5

(22) Date of filing: 05.07.1995
(51) International Patent Classification (IPC)6H01L 23/532, H01L 23/522

(54)

Method of forming an Al-Ge alloy with WGe polishing stop

Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht

Procédé de formation d'un alliage de Al-Ge avec couche d'arrêt de polissage en WGe


(84) Designated Contracting States:
DE FR GB

(30) Priority: 05.08.1994 US 286605

(43) Date of publication of application:
21.02.1996 Bulletin 1996/08

(60) Divisional application:
99101825.0 / 0915501

(73) Proprietor: International Business Machines Corporation
Armonk, N.Y. 10504 (US)

(72) Inventors:
  • Joshi, Rajiv Vasant
    Yorktown Heigths, New York 10598 (US)
  • Tejwani, Manu Jamnadas
    Yorktown Heigths, New York 10598 (US)
  • Srikrishnan, Kris Venkatraman
    Wappingers Falls, New York 12590 (US)

(74) Representative: Rach, Werner, Dr. 
IBM Deutschland Informationssysteme GmbH, Patentwesen und Urheberrecht
70548 Stuttgart
70548 Stuttgart (DE)


(56) References cited: : 
EP-A- 0 499 050
US-A- 5 308 794
US-A- 5 169 803
   
  • 1991 SYMPOSIUM ON VLSI TECHNOLOGY, 28 - 30 May 1991, OISO, JAPAN, pages 35-36, XP000259967 K. KIKUTA ET AL.: " 0.25mum contact hole filling by AlGe reflow sputtering"
  • PROCEEDINGS OF THE 1991 VMIC CONFERENCE, 11 - 12 June 1991, pages 163-170, XP002005422 K.KIKUTA ET AL.: "Al-Ge reflow sputtering for submicron-contact-hole filling"
   
Note: Within nine months from the publication of the mention of the grant of the European patent, any person may give notice to the European Patent Office of opposition to the European patent granted. Notice of opposition shall be filed in a written reasoned statement. It shall not be deemed to have been filed until the opposition fee has been paid. (Art. 99(1) European Patent Convention).


Description

Field of the Invention



[0001] The present invention generally relates to a method for fabricating circuits which use field effect transistors (FETs), bipolar transistors, or BiCMOS (combined Bipolar/Complementary Metal Oxide Silicon structures), and more particularly to a method for forming a metal alloy on a substrate.

[0002] The process of the invention uses low temperature germanium gas flow to affect metals and alloys deposited in high aspect ratio structures including lines and vias. By using a germanium gas flow, germanium (Ge) will be introduced in a surface reaction which prevents voids and side seams. Furthermore, a hard cap of WxGey is formed for surface passivation or a wear-resistance application. The Ge gas is followed by a W containing gas to produce an in-situ hard cap of WxGey.

Description of the Related Art



[0003] Low resistivity metals such as aluminum and copper and their binary and ternary alloys have been widely explored as fine line interconnects in semiconductor manufacturing. Typical examples of fine line interconnect metals include AlxCuy, where the sum of x and y is equal to one and both x and y are greater than or equal to zero and less than or equal to one, ternary alloys such as Al-Pd-Cu and Al-Pd-Nb, Al-Cu-Si, and other similar low resistivity metal-based alloys. Emphasis on scaling down line width dimensions in very large scale integrated (VLSI) circuitry manufacture has led to reliability problems including inadequate isolation, electromigration, and planarization.

[0004] Damascene processes using metal filling vias and lines followed by chemical/mechanical polishing (CMP) with various Al, Cu and Cu-based alloys are a key element of future wiring technologies for very large-scale system integration (VLSI). A key problem is filling high aspect ratio vias and lines without voids or seams, and creating homogeneous structures. Metallo-organic chemical vapor deposition (MOCVD) appears to be a promising method but only in the beginning stages of fabrication, and the deposition rates are very slow and the in-situ deposition of thin lines is very difficult. Additionally, laser melting appears promising but many issues remain in applying such a fabrication method. Furthermore, high temperature bias sputtering (i.e., above 450 °C) technique has been attempted but this technique has limitations below 1 µm geometries. Additionally, such high temperatures would degrade the underlying metals.

[0005] Additionally, conventional techniques such as chemical vapor deposition (CVD) or plating appear promising but as yet have not been applied to fabrication of such a structure. Currently, there is no method which allows the use of physical vapor deposition (PVD) techniques or which improves the quality of CVD or plating films if required for filling high aspect ratio vias and lines.

[0006] Further, low resistivity Cu or Al lines are being evaluated for back-end metallization and packaging applications. However, good fill of these alloys in submicrometer lines is still challenging as the existing techniques mentioned above lack adequate filling properties. The exotic and expensive CVD methods to deposit Cu or Al-Cu (e.g., metallo-organic chemical vapor deposition (MOCVD), laser melting, high-temperature bias sputtering, Al-Ge on poly substrate, etc.) are being explored, but have drawbacks as mentioned above. Another alternative would be formation of low eutectic Al-Ge by sputtering, but this technique requires different targets and a fixed composition degrades the lines' electromigration properties.

[0007] Plating is an inexpensive technique, but it cannot deposit any combination of alloys (e.g., Al-Cu, Al-Nb-Pd, etc). Additionally, pure Cu from Al-Cu alloy is known for its poor corrosion resistance. Further, any selective technique is prone to loss of selectivity and therefore the capping of dense Al-Cu lines, without affecting the yield, remains a great challenge.

[0008] Presently, 4Mb and 16Mb memories typically employ Al-Cu lines and W via interconnects. CVD is used to conformally deposit W into the vias. However, as dimensions decrease and current densities increase, W will have to be replaced with a different metal similar to the ones used for the first metal layer (M1) or second metal layer (M2) interconnect structure to form the hard layer. A suitable choice would be Al-Cu or Cu. However, these metals are very difficult to deposit by CVD, because of very slow deposition rates, the lack of a good precursor, and because deposition occurs at temperatures, such as above 450 °C, that are believed too high for back-end-of-line (BEOL) applications.

[0009] In one example of the conventional techniques, (as disclosed for example, in Kikuta et al. PROC. OF 1991 VMIC CONFERENCE, pp.163-170 and Kikuta et al., "0.25 µm Contact Hole Filling by Al-Ge Reflow Sputtering", Proceedings of the 1991 Symposium on VLSI Technology, pp. 35-36), sputtered Al-Ge material was used for via fill. However, this structure exhibited high line and via resistance and also required a polysilicon underlayer. Further, only a binary alloy is described and in a technique in which alloying is homogeneous, a high Ge content is required and thermal stability is degraded.

[0010] It is well-known to deposit low resistivity metals such as Al-Cu by increasing the temperature close to its melting point (e.g., 580 °C) and reflowing it by using substrate biasing. Such high temperatures as well as bias degrades the already deposited metal layers and causes diffusion as well. Normally, these temperatures in the conventional methods are above 475 °C.

[0011] In US-A-5,308,794 it is disclosed to react Al and Al-Cu alloys in a via with Ge.

[0012] US-A-5,169,803 teaches the addition of Ge to Al and Al-Cu alloys to form Al-Ge and Al-Cu-Ge alloys thereby causing the resulting metal alloy to flow easily over a substrate surface having a temperature of 250 - 400 °C.

[0013] Other problems of the conventional structures and methods have been that damascene of soft metals (e.g., metals such as Al-Cu, Cu, alloys of Al, etc.) shows scratching and smearing using harder suspension particles in the slurry and that conventional sputtering techniques are unable to fill lines including high temperature, bias sputtering. Significant challenges exist in filling and forming scratch-free, soft metal lines and the conventional methods have been unable to provide a practical and effective solution thereto.

Summary of the Invention



[0014] It is therefore an object of the present invention to provide a low-cost, corrosion-free, wear-resistant, electromigration-resistant, electrical conductor interconnecting circuits on a substrate on a submicron scale using a method with a high process yield. To achieve this object, the process of the invention uses low temperature germanium gas flow to affect aluminium structures such as lines and vias. By using a germanium containing source such as GeH4, G2H6 etc. gas, germanium (Ge) is introduced in a surface reaction which prevents voids and side seams.

[0015] As defined in claim 1, the invention provides a method of forming a metal alloy with polishing stop on a substrate having an upper surface.

[0016] The present inventors have experimentally shown that by using PVD in combination with a reaction of GeH4, vias can be filled by forming low eutectic alloys. PVD offers any combination of binary and ternary alloys with Al. This structure, produced by surface reaction, is advantageous over the conventional systems which use only Al-Ge by bias sputtering. The low temperature (within the range of 300-450 °C and more preferably between 300-400 °C) CVD reaction/deposition is compatible with the use of both organic and inorganic insulators/dielectrics.

[0017] Thus, for example, conventional CMOS processes which integrate Al/Cu with polyimide with backfilling of polyimide to fill gaps, can be replaced with the inventive technique, without the concerns of stress associated with W. Since the metallurgy is essentially controlled by the PVD methods, there is no electromigration degradation. This technique is especially attractive where a slight resistance penalty is acceptable (e.g., can replace W stud), and simultaneously planarization needs can be minimized and metal film stress concerns can be avoided.

[0018] Additionally, the CVD technique provides conformality with surface diffusion and passivation of the sidewalls of the structure and, by forming the low melting point eutectic only in the vias by selectively using GeH4, the technique is very advantageous for process implementation.

[0019] Furthermore, the inventive method provides for germanium to be selectively added near the areas of the voids or seams in metallized features. Further, formation of a low temperature melting of a Al-Ge-M (ternary alloy etc., in which M can be Nb, Pd, Cu etc.) eutectic achieves the filling of the vias/trenches. The flow of Ge is only at the surface (melting of Al-Ge-M, etc.). Thus, a graded composition can be advantageously created. With the invention, there is no degradation of via resistance, as in the conventional structures, and the electromigration of the Al-Cu-Ge system. Additionally, unique interconnect sidewall passivated structures can be formed by the invention.

[0020] A good polish stop is provided in the form of WxGey. The hard capped WxGey can be formed in one step and, with the invention, there is no degradation of line resistance and the electromigration of Al-Cu-Ge system can be improved in relation to the conventional systems.

Brief Description of the Drawings



[0021] The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of the preferred embodiments of the invention with reference to the drawings, in which:

Figures 1(a)-1(b) are cross-sectional views of a semiconductor substrate according to a first aspect of the present invention;

Figures 2(a)-2(b) are cross-sectional views of a semiconductor substrate according to a second aspect of the present invention;

Figures 3(a)-3(b) are cross-sectional views of a semiconductor substrate according to the present invention in which voids encountered during a sputtering process are closed by a reaction of GeH4;

Figure 4 is a cross-sectional view of a semiconductor substrate according to the present invention in which a layer (W-Ge) is deposited on top as a hard polishing stop;

Figure 5 is a cross-sectional view of a semiconductor substrate according to the present invention in which the lines or vias formed are etched-back or polished to form interconnect lines.

Figure 6 is an SEM photograph of the CMP resultant of Al-Cu + GeH4.

Figure 7(a) and 7(b) are photographs illustrating GeH4 being reacted to fill aspect ratios close to 4-5.

Figure 8 illustrates a multilevel structure by Example 1.

Figures 9(a)-9(c) illustrate a dual damascene structure process flow and Figure 9(d) illustrates a multilevel structure produced by Example 2.

Figure 10 illustrates via chain yield as a function of via resistance.

Figure 11(a) illustrates electromigration performance of Al-Cu studs/lines by Example 1 and Figure 11(b) illustrates electromigration performance of Al-Cu studs/lines by Example 2.

Figure 12 is a graph illustrating the relationship of step coverage to atomic weight (or melting point) of the material at room temperature for holes/lines with an aspect ratio of 4.


Detailed Description of a Preferred Embodiment of the Invention



[0022] Referring now to the drawings, and more particularly to Figure 1, there is shown a process according to the present invention. The invention is particularly useful in fabricating circuits using FETs, bipolars, or BiCMOS, and is especially useful for lithographic structures less than 0.5 microns, (e.g., those commonly encountered with the 64Mb and 256Mb DRAM structures). Of course, the invention is not limited to such applications and it is envisioned that the invention could be easily tailored to other applications by one ordinarily skilled in the art reading this application.

[0023] Generally, the process of the invention uses low temperature germanium gas flow to affect aluminium deposited in, for example, high aspect ratio structures including lines and vias.

[0024] By using a germanium gas flow, Ge will be introduced in a surface reaction that will prevent the appearance of voids and side seams. Additionally, the germanium gas flow with WF6 produces a hard cap layer of WxGey.

[0025] By slowly introducing W containing gas, preferably WF6, a hard, wear-resistant coating (WxGey) is deposited on top of an Al-Cu-Ge layer as a polish stop for soft alloys. After forming the lines/vias, the W alloy cap can be removed by an SF6 reactive ion etching (RIE) process or the like. The resulting structure formed by the reaction of GeH4 is unique and improves electromigration of the structure.

[0026] It should be understood that the techniques and resulting structures are not limited to using any specific substrates and dielectric overlays.

[0027] Looking at the invention in greater detail, a structure and process for filling high aspect ratio vias/lines with low resistivity metal by forming its low melting point eutectic alloy will now be disclosed. Also, the formation of the hard cap of W-Ge as a polishing stop will also be described.

[0028] Generally, as shown in Figures 1-5, first GeH4 is introduced and then WF6 is introduced gradually to form a bilayer structure of Al-Cu-Ge/WxGey. Here, the GeH4 gas is employed for filling of the low resistivity alloys deposited practically by any method. For example, any PVD, plating or CVD technique can be used in combination with GeH4. Examples of such fillings are shown in Figs. 1-5 and as described below.

[0029] In a first example, as shown in Figures 1(a) and 1(b), a substrate (not illustrated) is first overcoated with a dielectric 10 which is subsequently patterned.

[0030] The substrate is preferably silicon, silicon germanium, germanium, gallium arsenide, or some other material which is suitable for making integrated circuits. However, the substrate may be a ceramic, glass, or composite material commonly used for packaging semiconductors and for making thin film interconnections. The substrate preferably has a plurality of semiconductor devices formed therein which may include field effect transistors (FETs), bipolar transistors, BiCMOS, resistors, Schottky diodes, or the like. Of course, the substrate can have any of the attributes discussed above plus many other attributes known within the art.

[0031] The dielectric (a single layer, as shown in the drawings, or a composite having a plurality of layers) may be formed of organic and/or inorganic materials. The inorganic materials may be silicon dioxide (SiO2), silicon nitride (Si3N4), or the like. The dielectric 10 is preferably deposited using plasma-enhanced chemical vapor deposition (PECVD). An organic dielectric layer, such as a polyimide or diamond-like carbon (DLC), may be deposited on top or between one or more inorganic layers of the dielectric.
Alternative to a dielectric composite created by a plurality of layers, a single layer of an inorganic dielectric, such as SiO2, PSG, or BPSG, or an organic dielectric, such as polyimide, may also be employed and may be deposited by any of a number of well-known techniques such as by growing in an oxidative atmosphere, sputtering, or PECVD. While Figs. 1(a) and 1(b) show the use of a single dielectric layer, it is understood that the dielectric layer 10 is not limited to the practice of this invention and that any dielectric (e.g., inorganic or organic) used by itself or in combination could be employed in the practice of this invention.

[0032] An opening 11 is formed in the dielectric composite, and this opening may be a via or a trench for a conductive line. In VLSI applications, the substrate is likely to have several hundred to thousands of openings 11 like that shown in Figure 1(a) where the resulting dense, complex pattern will ultimately interconnect circuits on or in the substrate. The openings 11 are preferably formed using contrast-enhanced lithography (CEL) followed by trench or hole etching with a multiwafer tool using CHF3 and O2 with an optimum overetch to ensure that the opening 14 has the desired dimensions and extends to a contact on the surface of the substrate for a via stud pattern.

[0033] For line patterns, the dielectric layers are preferably partially etched to a depth about 10% higher than the metal thickness to be employed. When etching polyimide, O2 RIE at low temperatures is preferred. It should be understood that the formation of the opening 11 is well understood within the art and can be created by many different techniques.

[0034] Thereafter, a suitable material 12 such as Ti followed by Al-Cu, is deposited by PVD (e.g., evaporated, collimated sputtering or sputtering without collimation, etc.) in the openings 11 (e.g., trenches/vias) and over the dielectric 10.

[0035] Then, a refractory metal layer 13 is deposited on top of the Al-Cu on the dielectric and in the trenches. Due to shadowing effects, PVD (e.g., evaporation) exhibits seams at the sides of the structure. Seams are problematic in such structures since they pose reliability problems.

[0036] Thereafter, a GeH4 gas is flowed across the top surface of the Al-Cu layer 12, and the vias are filled. Preferably, the GeH4 is flowed at a pressure of 1 mTorr to 760 Torr (1 Torr = 133 Pa), and more preferably 1 Torr, and at a temperature of 300 °C to 450 °C, and more preferably 380 °C to 400 °C. Using GeH4 in combination with Al-Cu lowers the eutectic point of Al-Cu and fills the vias.

[0037] As mentioned above, the top of the Al-Cu is preferably capped as shown in Fig. 1(a) with the refractory metal. The refractory metal layer can be titanium (Ti), titanium alloys or compounds such as Ti/TiN, tungsten (W), titanium/tungsten (Ti/W) alloys, or chromium (Cr) or tantalum (Ta) and their alloys, or some other suitable material. Such a refractory metal cap prevents the surface reaction from progressing and promotes the side reaction.

[0038] Preferably, the metalization 14, as shown in Figs. 1(a)-1(b) is AlxCuy, where the sum of x and y is equal to one and x is greater than zero and less than or equal to one. However, ternary alloys such as Al-Pd-Cu and multicomponent alloys such as Al-Pd-Nb-Au are also be suitable. The principal characteristic of the metalization 14 is that it is a low resistivity and soft material compared to the refractory metal cap 13.

[0039] Preferably, the opening 11, which represents the line pattern or interlevel via pattern, is filled with the metalization 14 to a depth of 100 to 400 nm below the surface of the line or via.

[0040] After reacting this structure with GeH4 as shown in Equation 1, the side seams are filled with its low melting point eutectic alloy 15 which is Al-Cu-Ge, as shown in Fig. 1(b).

        AlxCuy + (x+y) GeH4 → xA1-Ge + y(Cu-Ge) + 2(x+y) H2     (1)



[0041] Referring to Figures 2(a)-2(b), a second aspect of the present invention illustrates the closing of the metal gaps during PVD processing (e.g., evaporation, collimation sputtering, etc.) due to the shadowing effects mentioned above.

[0042] Specifically, by reacting the Al-Cu alloy with GeH4 in a temperature range of between 300-450°C and preferably between 350 °C to 400 °C, and even more preferably between 380 °C to 400 °C, and a pressure range of 26.66 to 133.32 N/m2 (0.2 to 1 Torr) in an ultrahigh velocity (UHV) reactor, voids 20 are advantageously closed, as shown in Fig. 2(b).

[0043] As shown in Figs. 3(a)-3(b), similar voids encountered during standard sputtering processes are closed by the reaction of GeH4 and the formation of the low melting point eutectic material. Thus, without any complicated technologies, this process can be used for manufacturing to fill vias and lines. The reaction forms the low melting point (m.p.) eutectic alloy and flows the material to the center of the void, to thereby fill the void.

[0044] Once the material flow is achieved, a W-Ge layer 30 is deposited over the refractory material layer and the metalization, as shown in Fig. 4. The W-Ge layer 30 is advantageously used as a hard, wear-resistant polishing stop (e.g., it will be more resistant to an alumina slurry or the like in ferric nitrate used in chemical-mechanical polishing or the like). Thereafter, the lines or vias are formed and they are preferably etched-back or polished to form interconnect lines, as shown in Fig. 5.

[0045] The structure is planarized after the application of the WxGey to yield a structure having a conductive via or line, which includes a central, soft, low resistivity metalization 14 having a hard, wear-resistant cap made of WxGey or the like. The top surface of the via or line is even with the top surface of the dielectric material on the substrate. Planarization can be accomplished within one or two steps by chemical-mechanical polishing with a slurry such as alumina in dilute ferric nitrate or by RIE in the presence of SF6 or Cl2 based chemistry.

[0046] Alternatively to the technique described above, the lines and vias can be formed in one step by depositing the material into vias in combination with GeH4 reaction and then patterning and etching the lines to form the interconnect structure.

[0047] Experiments have shown that, with the present invention, a low temperature eutectic of Al-Cu-Ge (Cu3Ge) can be formed with a GeH4 reaction at temperatures below 400 °C and furthermore, that a low temperature Ge material containing a hard W cap can be deposited on top of the Al-Cu alloy. Using such a process (i.e., surface diffusing GeH4 with Al-Cu) aspect ratios of 4 to 5 are filled without voids as shown in the photographs of Figs. 6 and Figures 7(a) and 7(b). The additional experiments performed using GeH4 reactions are listed in Table 1 below. The data clearly shows that voids may be filled using the above-described process of the invention.
TABLE 1
Metal Pressure (N/m2(mT)) Step Coverage Aspect Ratio
Al-Cu 2.67 x 10-2 (0.2) 100 % 3.0
  6.67 x 10-2 (0.5) 100 % 2.5
  1.07 x 10-1 (0.8) 100 % 2.0


[0048] The above-described technique provides a simple and inexpensive solution to problems which become worse as the lithographic ground rules decrease below 0.5 micrometers.

[0049] Further, the above technique is advantageous in that it has many applications and the process relies on surface reactions. Hence, it selectively forms a low melting point eutectic alloy in the vias only, thereby to prevent voids and seams. The process according to the invention can be used as a batch or single wafer reactor (SWR) deposition if desired. Further, the invention provides a low-cost technique which can use any PVD technique (e.g., evaporation, standard sputtering, etc.).

[0050] Further, regarding the hard cap of WxGey, W3Ge5 -20-30µΩCm has a polish rate 1/5 of that of pure W. Further, large pads/lines and small pads/lines are protected as well in that resistances of large and small pads remain unchanged.

[0051] Experiments were conducted with the above structure and the following results were found:
Material Holder temperature Resistivity
Al-Cu-Ge As-Dep 3.6µΩ Cm
  400, 15 min 3.2µΩ Cm
  400, 30 min 4.2µΩ Cm
  400, 40 min 5.9µΩ Cm
  400, 60 min 8.9µΩ Cm


[0052] With the invention, in silicon back-end metallization for CMOS as well as bipolar applications to fill high aspect ratio contacts and vias with conventional techniques in combination with GeH4 and WF6 (if this aspect of the invention is desired) and further the electromigration performance of the Al-Cu alloys using the inventive technique can be improved in relation to that of the conventional structures and methods.

[0053] Further, with the method of the present invention, expensive and complex apparatus are not required, and the use of low melting-point alloys as via fill materials is possible. These alloys can be matched with the Al-Cu lines and interconnects so as to prevent electromigration and limited lifetimes. Further, the invention is particularly useful when the lithography ground rules are less than 0.5 micrometers, as in, for example, fabrication of 64Mb and 256Mb dynamic random access memory (DRAM) structures. Additionally, the Al-Cu lines and W can be used for via interconnects between wiring layers.

[0054] In an alternative method not forming part of the invention in which high aspect ratio vias/lines are filled by surface diffusion, a low pressure sputtering process is used along with temperatures below 450 °C. The invention improves the directionality at low pressure (e.g., below 1.33 x 10-1 N/m2 (1 mT), with the preferred range being from 1.07 x 10-1 N/m2 (0.2mT to 0.8mT)) although the cosine distribution from the target prevails. If the deposition is performed at low pressure at room temperature (see Table 2 below), an aspect ratio of close to 4 can be filled without voids (see Table 2). The aspect ratio generally refers to the ratio of the height of a trench or via relative to its width (in the case of a trench) or its diameter (in the case of a via). Trenches and vias with aspect ratios greater than two are generally considered to have a high aspect ratio. By increasing the pressure at room temperature, the fill is adversely affected as shown in Table 3 below. Thus, to fill higher aspect ratio (e.g., higher than 4) moderate temperatures below 450 °C are needed. The results in Figure 4 show that at moderately low pressures and low temperatures, higher aspect ratios (4 and above) can be filled.

[0055] One possible explanation for this behavior may be due to the increase of kinetic energy of the sputtered atoms emitted at low pressures. Such atoms with high energy have a low sticking coefficient (.1 to 0.3) compared to sputtered atoms generated at higher pressure (1.07 x 10-1 N/m2 to 1.33 x 10-1 N/m2 (0.8 mT to 1 mT)). Since they have high energy (greater than 1 eV), they do not stick to a vertical wall, but instead bounce off or diffuse until a stable configuration is reached. Further, large mean free paths at lower pressure may assist in minimal collision with other atoms and thus retain the kinetic energy. Additionally, moderate temperatures (e.g., lower than 450 °C) may increase the surface diffusion characteristics of the sputtered atoms yielding a void-free fill.

[0056] Thus, at low pressures such as 2.67 x 10-2 N/m2 (0.2 to 0.8 mT), high aspect ratio (aspect ratio of 4 or more) vias may be filled with a low resistivity metal completely as shown in Tables 2, 3 and 4.
TABLE 2
STEP COVERAGE
PRESSURE ASPECT RATIO Al Al-Cu (2%)
2.67 x 10-2 N/m2 (0.2 mT) 1.5 100 100
  2.0 100 100
  3.0 100 98
  3.5 100 97
  4.0 98 95
TABLE 3
POOR FILL AT HIGH PRESSURES
ASPECT RATIO PRESSURE Al Al-Cu (2%)
4 5.33 x 10-2 N/m2 (0.4 mT) 96 97
  1.07 x 10-1 N/m2 (0.8 mT) 55 52
  2.67 x 10-1 N/m2 (2.0 mT) 32 30
TABLE 4
GOOD FILL AT LOW PRESSURES AND HIGH TEMPERATURES1
ASPECT RATIO PRESSURE Al Al-Cu (2%)
4 5.33 x 10-2 N/m2 (0.4 mT) 100 100
  1.07 x 10-1 N/m2 (0.8 mT) 100 100
  2.67 x 10-1 N/m2 (2.0 mT) 80 70
1 Temperatures used were between 400 to 450 °C.

Formation of Multilevel Structure


Example 1 - Combination of RIE and Damascene Process (Fig. 8)



[0057] The two-level structure shown in Figure 8 is formed by first depositing sputtered layer (0.8 micrometers) as a stack and then patterning to form a line. A dielectric layer, as known in the art, was deposited thereon by a deposition/etching/deposition etch process flow. Then, the dielectric was planarized by chemical and mechanical polishing.

[0058] Planarization can be accomplished within one or two steps by chemical-mechanical polishing with a slurry such as alumina in dilute ferric nitrate or by RIE in the presence of SF6 or Cl2 based chemistry. If chemical-mechanical polishing is employed, slurries can be selected to remove different metal layers on the stack depending on hardnesses of the metal layer.

[0059] Thereafter, vias were opened up and filled with an Al-Cu stud (having, for example, between 0 to 4 % of Cu) using these two processes (e.g., the GeH4 reaction with Al-Cu and/or the other process of using sputtering at low pressures and high temperatures). The metal was left in the via by an etchback process employing either chemical and mechanical polishing (using an alumina and ferric nitrate slurry and low polishing pressures) and separately using RIE techniques (using BCl3 + Cl2 + CHCl3/N2).

[0060] If chemical and mechanical polishing is employed, slurries can be selected to provide scratch-free surfaces. Once again, the Ti/Al-Cu/Ti/TiN layer was deposited on top of these vias and then patterned by lithography and formed by RIE to form an interconnect. Such a structure has been electrically tested by the present inventors.

Example 2 - Dual Damascene Process (Figure 9(a)-9(d))



[0061] In another example, as shown in Figs. 9(a)-9(d), a first level metal line (Ti/Al-Cu (0.5%)/Ti was formed by forming a trench in a patterned oxide, then polishing the excess metal using softer slurry (e.g., colloidal silica with a low pH compared to alumina and ferric nitrate). Thereafter, an oxide having a thickness of substantially 2 micrometers was deposited thereon. Patterning with metal level 2 (M2) was performed, and then the oxide was etched to 1 micrometer and the resist was removed. Once again, using lithography, the oxide was patterned with vias which were opened down to metal level 1 (M1) with RIE. After removing the resist, metal (e.g., Al-Cu(0.5%)) was sputter deposited at low pressures and at 400 °C. Using the surface diffusion, the high aspect ratios were filled without voids.

[0062] Using the above-mentioned polishing process, Al-Cu was removed from the field oxide and thus a dual damascene structure was created, as shown in Figure 9(d).

[0063] Thus, a method of creating one of a dual damascene and a damascene structure in combination with reactive ion etching, on a substrate on which a stack including a metal layer (e.g., Al-Cu, Al, Al-Cu-Ge, or the like) having a predetermined hardness, is fabricated, is also provided. The method includes removing the metal layer having the predetermined hardness, by chemical and mechanical polishing using slurries having a hardness two to three times that of the material to be removed (e.g., colloidal silica in the case of Al-Cu, etc.). Furthermore, if a hard cap such as, for example, WxGey, is employed, then harder suspension particles in the slurry can be employed (e.g., alumina or the like).

[0064] Electrical Results were obtained via chain resistance of the obtained multilevel structure using these different embodiments of the invention as shown in Figure 10. The distribution is normal with a mean resistance of 0.5kΩ Ohms for a chain (500 vias/chain, via size of 0.7 µm, and 38 chains tested). The yield on the chain is 100%. The via resistance is comparable to CVD W studs.

[0065] Electromigration testing of the two level structure (shown in Fig. 9(d)) using a 1.4 µm-wide and 300 µm long Al-2%Cu line connected by Al-Cu studs having a 1 µm diameter was performed at a current density of 1.22 MA/cm2 at a temperature of 250 °C. The 20% shift in resistance was used as a criterion of failure. The electromigration performance was compared against CVD W studs.

[0066] An electromigration normal logarithmic plot for Al-Cu formed GeH4 reaction and Al-Cu alone by low pressure sputtering at 440 °C alone is shown in Figs. 11(a) and 11(b), respectively. W via samples (not illustrated) shift to higher resistance values than Al-Cu via samples before electrical opening (i.e., complete failure). A 20% change in the resistance as the failure criterion, the Al-Cu via sample exhibits a higher mean-time-to-fail t50 (for Al-Cu-Ge sample: 116 hours, and for low pressure 440 °C sputtered Al-Cu: 6161 hours as opposed to 86 hours for CVD W) and a higher σ than the W via samples compared with them. Hence, the Al-Cu via electromigration results show significant improvement in t50 over CVD W via samples.

[0067] As pointed out above, several factors affect the fill for low resistivity metal including pressure and temperature which the present inventors have studied to explore the surface diffusion effects.

[0068] Figure 12 illustrates the relationship of step coverage to atomic weight (or melting point) of the material at room temperature for holes/lines with an aspect ratio of 4. Figure 12 readily illustrates that with lower atomic weight or melting point material, it is easier to fill the vias at room temperatures and low pressures for aspect ratios below 4. To fill higher aspect ratios, other sputtering parameters play a key role. To achieve such material fill, a relationship between step coverages and sputtering parameters for material fill has been found by the present inventors. The relationship is as shown in Equation 2.

In Equation 2,

SC is the step coverage of the material to be filled;

Mp is the melting point of the material;

Mw is the atomic weight of the material;

AR is the aspect ratio of the trench or hole;

P is the operating pressure (mT);

T is the substrate temperature (°C);

θ is a dimensionless quantity = tan(θ) = D1-D2/2H; and

K = material dependent constant.

Constants and coefficients are as follows:

a = 0.2

b = 0.25 (high weight) - 0.51 (low weight)

c = 0.21 - 0.27
   ≤ 0.03 (for low weight) (e.g. Al, Al-Cu)

d ≤ 0.1

e = 0.6 - 0.7

K = 3-5 (≈ 4.0 - 4.5)

D1 = diameter of target

D2 = diameter of substrate

H = distance between target and substrate



[0069] Hence, as illustrated in Figure 12, the relationship of step coverage to atomic weight, or the melting point, of the material at room temperature for holes/lines with an aspect ratio of 4 is shown and it can clearly be seen that, with lower atomic weight or melting point material, filling of the vias at room temperatures and low pressures for aspect ratios below 4, can be performed more easily. Further, the above equation amply illustrates the relationship between step coverages and sputtering parameters for material fill and the fill being dependent on the atomic weight is clearly shown.

[0070] In summary, the inventive method for forming a metal alloy on a substrate having an upper surface, includes heating the upper surface of the substrate to a temperature in the range from 300 to 450°C, and flowing a gas containing germanium over the upper surface of the substrate, the upper surface having regions containing exposed aluminum, whereby the germanium in the gas reacts with the Al to form an aluminum alloy for flowing into adjacent openings due to surface tension of the aluminum alloy. The step of flowing a gas preferably includes selecting GeH4. The method also includes a step of flowing a gas containing W after the step of flowing a gas containing germanium to form a hard cap.


Claims

1. A method of forming a metal alloy with polishing stop on a substrate having an upper surface, said method comprising the steps of:

heating said upper surface of said substrate to a temperature in a range between 300 to 450°C; and

flowing a gas containing germanium over said upper surface of said substrate,

said upper surface having regions containing exposed aluminum, whereby the germanium in said gas reacts with said aluminum to form an aluminum alloy for flowing into adjacent openings due to surface tension of said aluminum alloy, and

flowing a gas containing W after said step of flowing a gas containing germanium, to thereby form a hard cap layer of WxGey over said metal alloy for a polishing stop.


 
2. A method according to claim 1, wherein said step of flowing a gas includes a gas selected from the group consisting of GeH4 and Ge2H6.
 
3. A method according to claim 1 or 2, further comprising a step of removing said hard cap layer by reactive ion etching.
 
4. A method according to claim 1 or 2, wherein said step of flowing germanium gas includes flowing said germanium gas at a pressure of 1.33 x 10-1 N/m2 to 1.01 x 105 N/m2 (1 mT to 760 Torr), preferably at a pressure of 1.33 x 102 N/m2 (1 Torr).
 


Ansprüche

1. Verfahren zur Herstellung einer Metall-Legierung mit einem Polierstopp auf einem Substrat mit einer Oberseite, wobei das Verfahren die Schritte umfasst:

Erwärmen der Oberseite des Substrats auf eine Temperatur im Bereich zwischen 300 °C und 450 °C; und

Hinwegleiten eines Gases, das Germanium enthält, über die Oberseite des Substrates,

wobei die Oberseite Bereiche aufweist, die freigelegtes Aluminium enthalten, wodurch das Germanium in dem Gas mit dem Aluminium reagiert, um eine Aluminiumlegierung zu bilden, damit diese aufgrund der Oberflächenspannung der Aluminiumlegierung in angrenzende Öffnungen fließt, und

Hinwegleiten eines Gases, das W enthält, nach dem Schritt des Hinwegleitens eines Gases, das Germanium enthält, um dadurch für einen Polierstopp eine harte Deckschicht aus WxGey über der Metall-Legierung zu bilden.


 
2. Verfahren nach Anspruch 1, wobei der Schritt des Hinwegleitens eines Gases ein Gas beinhaltet, das aus der Gruppe ausgewählt ist, die aus GeH4 und Ge2H6 besteht.
 
3. Verfahren nach Anspruch 1 oder 2, das des weiteren einen Schritt zum Entfernen der harten Deckschicht durch reaktives Ionenätzen beinhaltet.
 
4. Verfahren nach Anspruch 1 oder 2, wobei der Schritt des Hinwegleitens von Germaniumgas das Hinwegleiten des Germaniumgases mit einem Druck von 1,33 x 10-1 N/m2 bis 1,01 x 105 N/m2 (1 mTorr bis 760 Torr) beinhaltet, vorzugsweise mit einem Druck von 1,33 x 102 N/m2 (1 Torr).
 


Revendications

1. Procédé de formation d'un alliage métallique comportant un arrêt de polissage sur un substrat présentant une surface supérieure, ledit procédé comprenant les étapes consistant à :

chauffer ladite surface supérieure dudit substrat jusqu'à une température dans une plage comprise entre 300 et 450° C, et

faire circuler un gaz contenant du germanium au-dessus de ladite surface supérieure dudit substrat,

ladite surface supérieure présentant des régions contenant de l'aluminium exposé, grâce à quoi le germanium dans ledit gaz réagit avec ledit aluminium pour former un alliage d'aluminium en vue d'un écoulement jusque dans des ouvertures adjacentes en raison de la tension superficielle dudit alliage d'aluminium, et

faire circuler un gaz contenant W après ladite étape consistant à faire circuler un gaz contenant du germanium, pour former ainsi une couche de sommet dure de WxGey au-dessus dudit alliage métallique afin de constituer un arrêt de polissage.


 
2. Procédé selon la revendication 1, dans lequel ladite étape consistant à faire circuler un gaz comprend un gaz sélectionné à partir du groupe constitué de GeH4 et Ge2H6.
 
3. Procédé selon la revendication 1 ou 2, comprenant en outre une étape consistant à éliminer ladite couche de sommet dure au moyen d'une gravure par ions réactifs.
 
4. Procédé selon la revendication 1 ou 2, dans lequel ladite étape consistant à faire circuler un gaz au germanium comprend la circulation dudit gaz au germanium à une pression de 1,33 x 10-1 N/m2 à 1,01 x 105 N/m2 (1 mT à 760 Torrs), de préférence à une pression de 1,33 x 102 N/m2 (1 Torr).
 




Drawing