(19)
(11) EP 0 999 934 B1

(12) EUROPEAN PATENT SPECIFICATION

(45) Mention of the grant of the patent:
26.10.2005 Bulletin 2005/43

(21) Application number: 98933352.1

(22) Date of filing: 15.07.1998
(51) International Patent Classification (IPC)7B41J 2/045, B41J 2/055, B41J 2/14, B41J 2/16, B41J 2/165, B41J 2/19, H01H 1/00
(86) International application number:
PCT/AU1998/000550
(87) International publication number:
WO 1999/003681 (28.01.1999 Gazette 1999/04)

(54)

A THERMALLY ACTUATED INK JET

THERMISCH BETÄTIGTER TINTENSTRAHL

JET D'ENCRE A COMMANDE THERMIQUE


(84) Designated Contracting States:
AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

(30) Priority: 15.07.1997 AU PO807897
15.07.1997 AU PO793397
15.07.1997 AU PO807597
15.07.1997 AU PO807997
15.07.1997 AU PO805097
15.07.1997 AU PO805297
15.07.1997 AU PO794897
15.07.1997 AU PO795197
15.07.1997 AU PO807497
15.07.1997 AU PO794197
15.07.1997 AU PO805197
15.07.1997 AU PO804597
15.07.1997 AU PO795297
15.07.1997 AU PO804697
15.07.1997 AU PO804297
15.07.1997 AU PO804097
15.07.1997 AU PO805797
15.07.1997 AU PO805697
15.07.1997 AU PO800197
15.07.1997 AU PO803897
15.07.1997 AU PO793797
15.07.1997 AU PO800297
15.07.1997 AU PO806897
15.07.1997 AU PO806297
15.07.1997 AU PO803497
15.07.1997 AU PO803997
15.07.1997 AU PO803797
15.07.1997 AU PO804397
15.07.1997 AU PO806497
15.07.1997 AU PO794697
15.07.1997 AU PO794397
15.07.1997 AU PO800697
15.07.1997 AU PO800797
15.07.1997 AU PO800897
15.07.1997 AU PO801097
15.07.1997 AU PO794497
15.07.1997 AU PO794797
15.07.1997 AU PO794597
15.07.1997 AU PO803397
15.07.1997 AU PO801197
15.07.1997 AU PO850397
15.07.1997 AU PO939097
15.07.1997 AU PO939397
15.07.1997 AU PO939297
15.07.1997 AU PO938997
15.07.1997 AU PO939197
15.07.1997 AU PO087397
15.07.1997 AU PO089397
15.07.1997 AU PP088897
15.07.1997 AU PP089197
12.12.1997 AU PP089097
12.12.1997 AU PP089497
12.12.1997 AU PP088997
12.12.1997 AU PP087297
12.12.1997 AU PP088297
12.12.1997 AU PP087497
12.12.1997 AU PP087597
12.12.1997 AU PP089297
19.01.1998 AU PP139898
19.01.1998 AU PP139698
25.03.1998 AU PP259398
25.03.1998 AU PP259298
25.03.1998 AU PP259198
09.06.1998 AU PP399198
09.06.1998 AU PP398398
09.06.1998 AU PP398598
09.06.1998 AU PP398998
09.06.1998 AU PP398798
09.06.1998 AU PP399098
09.06.1998 AU PP398698
09.06.1998 AU PP398498

(43) Date of publication of application:
17.05.2000 Bulletin 2000/20

(73) Proprietor: Silver Brook Research Pty, Ltd
Balmain, NSW 2041 (AU)

(72) Inventors:
  • SILVERBROOK, Kia
    Leichhardt, NSW 2040 (AU)
  • McAVOY, Gregory
    Bondi, NSW 2026 (AU)

(74) Representative: Carter, Stephen John et al
Mewburn Ellis LLP York House 23 Kingsway
London WC2B 6HP
London WC2B 6HP (GB)


(56) References cited: : 
EP-A- 0 092 229
EP-A- 0 427 291
EP-A- 0 478 956
EP-A- 0 510 648
EP-A- 0 634 273
EP-A- 0 737 580
EP-A- 0 882 590
WO-A-97/12689
DE-A- 2 905 063
DE-A- 3 430 155
DE-A- 3 934 280
DE-A- 19 516 997
DE-A- 19 532 913
DE-A- 19 639 717
GB-A- 792 145
GB-A- 2 262 152
US-A- 4 553 393
US-A- 4 737 802
US-A- 5 029 805
US-A- 5 666 141
EP-A- 0 398 031
EP-A- 0 431 338
EP-A- 0 506 232
EP-A- 0 627 314
EP-A- 0 713 774
EP-A- 0 750 993
WO-A-94/18010
DE-A- 1 648 322
DE-A- 3 245 283
DE-A- 3 716 996
DE-A- 4 328 433
DE-A- 19 517 969
DE-A- 19 623 620
FR-A- 2 231 076
GB-A- 1 428 239
US-A- 4 423 401
US-A- 4 672 398
US-A- 4 864 824
US-A- 5 258 774
US-A- 5 719 604
   
  • PATENT ABSTRACTS OF JAPAN vol. 007, no. 217 (M-245), 27 September 1983 (1983-09-27) -& JP 58 112747 A (FUJITSU KK), 5 July 1983 (1983-07-05)
  • PATENT ABSTRACTS OF JAPAN vol. 010, no. 176 (M-491), 20 June 1986 (1986-06-20) -& JP 61 025849 A (CANON INC), 4 February 1986 (1986-02-04)
  • PATENT ABSTRACTS OF JAPAN vol. 013, no. 376 (M-862), 21 August 1989 (1989-08-21) -& JP 01 128839 A (RICOH CO LTD), 22 May 1989 (1989-05-22)
  • PATENT ABSTRACTS OF JAPAN vol. 016, no. 384 (M-1296), 17 August 1992 (1992-08-17) -& JP 04 126255 A (SEIKO EPSON CORP), 27 April 1992 (1992-04-27)
  • PATENT ABSTRACTS OF JAPAN vol. 018, no. 133 (M-1571), 4 March 1994 (1994-03-04) -& JP 05 318724 A (SEIKOSHA CO LTD), 3 December 1993 (1993-12-03)
  • PATENT ABSTRACTS OF JAPAN vol. 014, no. 418 (M-1022), 10 September 1990 (1990-09-10) -& JP 02 162049 A (SEIKO EPSON CORP), 21 June 1990 (1990-06-21)
  • PATENT ABSTRACTS OF JAPAN vol. 017, no. 248 (M-1411), 18 May 1993 (1993-05-18) -& JP 04 368851 A (SEIKO EPSON CORP), 21 December 1992 (1992-12-21)
  • PATENT ABSTRACTS OF JAPAN vol. 014, no. 410 (M-1020), 5 September 1990 (1990-09-05) -& JP 02 158348 A (MINOLTA CAMERA CO LTD), 18 June 1990 (1990-06-18)
  • PATENT ABSTRACTS OF JAPAN vol. 015, no. 307 (M-1143), 6 August 1991 (1991-08-06) -& JP 03 112662 A (SEIKO EPSON CORP), 14 May 1991 (1991-05-14)
  • PATENT ABSTRACTS OF JAPAN vol. 015, no. 222 (M-1121), 6 June 1991 (1991-06-06) -& JP 03 065348 A (MATSUSHITA ELECTRIC IND CO LTD), 20 March 1991 (1991-03-20)
  • PATENT ABSTRACTS OF JAPAN vol. 014, no. 010 (M-917), 10 January 1990 (1990-01-10) -& JP 01 257058 A (SEIKO EPSON CORP), 13 October 1989 (1989-10-13)
  • PATENT ABSTRACTS OF JAPAN vol. 013, no. 340 (M-857), 31 July 1989 (1989-07-31) -& JP 01 115639 A (RICOH CO LTD), 8 May 1989 (1989-05-08)
  • PATENT ABSTRACTS OF JAPAN vol. 007, no. 221 (M-246), 30 September 1983 (1983-09-30) -& JP 58 116165 A (CANON KK), 11 July 1983 (1983-07-11)
  • PATENT ABSTRACTS OF JAPAN vol. 011, no. 125 (M-582), 18 April 1987 (1987-04-18) -& JP 61 268453 A (OLYMPUS OPTICAL CO LTD), 27 November 1986 (1986-11-27)
  • PATENT ABSTRACTS OF JAPAN vol. 016, no. 370 (M-1292), 10 August 1992 (1992-08-10) -& JP 04 118241 A (SEIKO EPSON CORP), 20 April 1992 (1992-04-20)
  • PATENT ABSTRACTS OF JAPAN vol. 1996, no. 04, 30 April 1996 (1996-04-30) -& JP 07 314665 A (CANON INC), 5 December 1995 (1995-12-05)
  • PATENT ABSTRACTS OF JAPAN vol. 015, no. 427 (M-1174), 30 October 1991 (1991-10-30) -& JP 03 180350 A (SEIKO EPSON CORP), 6 August 1991 (1991-08-06)
  • PATENT ABSTRACTS OF JAPAN vol. 014, no. 217 (M-0970), 8 May 1990 (1990-05-08) -& JP 02 050841 A (SEIKO EPSON CORP), 20 February 1990 (1990-02-20)
  • PATENT ABSTRACTS OF JAPAN vol. 018, no. 073 (E-1503), 7 February 1994 (1994-02-07) -& JP 05 284765 A (CANON INC), 29 October 1993 (1993-10-29)
  • PATENT ABSTRACTS OF JAPAN vol. 016, no. 416 (M-1304), 2 September 1992 (1992-09-02) -& JP 04 141429 A (SEIKO EPSON CORP), 14 May 1992 (1992-05-14)
  • NOWOROLSKI J M ET AL: "Process for in-plane and out-of-plane single-crystal-silicon thermal microactuators" SENSORS AND ACTUATORS A,CH,ELSEVIER SEQUOIA S.A., LAUSANNE, vol. 55, no. 1, 15 July 1996 (1996-07-15), pages 65-69, XP004077979 ISSN: 0924-4247
  • PATENT ABSTRACTS OF JAPAN vol. 013, no. 320 (M-853), 20 July 1989 (1989-07-20) -& JP 01 105746 A (RICOH CO. LTD.), 24 April 1989 (1989-04-24)
  • ATAKA M ET AL: "FABRICATION AND OPERATION OF POLYIMIDE BIMORPH ACTUATORS FOR A CILIARY MOTION SYSTEM" JOURNAL OF MICROELECTROMECHANICAL SYSTEMS,US,IEEE INC. NEW YORK, vol. 2, no. 4, 1 December 1993 (1993-12-01), pages 146-150, XP000443412 ISSN: 1057-7157
  • PATENT ABSTRACTS OF JAPAN vol. 014, no. 097 (M-0940), 22 February 1990 (1990-02-22) & JP 01 306254 A (SEIKO EPSON CORP), 11 December 1989 (1989-12-11)
  • YAMAGATA Y ET AL: "A MICRO MOBILE MECHANISM USING THERMAL EXPANSION AND ITS THEORITICAL ANALYSIS A COMPARISON WITH IMPACT DRIVE MECHANISM USING PIEZOELECTRIC ELEMENTS" PROCEEDING OF THE WORKSHOP ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS),US,NEW YORK, IEEE, vol. WORKSHOP 7, 25 January 1994 (1994-01-25), pages 142-147, XP000528408 ISBN: 0-7803-1834-X
  • PATENT ABSTRACTS OF JAPAN, (M-997), page 32; & JP,A,02 108 544 (SIEKO EPSON CORP) 20 April 1990.
  • PATENT ABSTRACTS OF JAPAN, (M-989), page 131; & JP,A,02 092 643 (SIEKO EPSON CORP) 3 April 1990.
  • PATENT ABSTRACTS OF JAPAN, (M-1403), page 129; & JP,A,04 353 458 (BROTHER IND INC) 8 December 1992.
  • PATENT ABSTRACTS OF JAPAN, (M-1632), page 152; & JP,A,06 091 865 (SIEKOSHA CO LTD) 5 April 1994.
  • PATENT ABSTRACTS OF JAPAN, (M-1632), page 152; & JP,A,06 091 866 (SIEKOSHA CO LTD) 5 April 1994.
  • PATENT ABSTRACTS OF JAPAN, (M-1069), page 165; & JP,A,02 265 752 (MATSUSHITA ELECTRIC IND CO LTD) 30 October 1990.
   
Note: Within nine months from the publication of the mention of the grant of the European patent, any person may give notice to the European Patent Office of opposition to the European patent granted. Notice of opposition shall be filed in a written reasoned statement. It shall not be deemed to have been filed until the opposition fee has been paid. (Art. 99(1) European Patent Convention).


Description

Field of Invention



[0001] The present invention relates to the field of ink jet printing systems.

Background of the Art



[0002] Many different types of printing have been invented, a large number of which are presently in use. The known forms of print have a variety of methods for marking the print media with a relevant marking media. Commonly used forms of printing include offset printing, laser printing and copying devices, dot matrix type impact printers, thermal paper printers, film recorders, thermal wax printers, dye sublimation printers and ink jet printers both of the drop on demand and continuous flow type. Each type of printer has its own advantages and problems when considering cost, speed, quality, reliability, simplicity of construction and operation etc.

[0003] In recent years, the field of ink jet printing, wherein each individual pixel of ink is derived from one or more ink nozzles has become increasingly popular primarily due to its inexpensive and versatile nature.

[0004] Many different techniques of ink jet printing have been invented. For a survey of the field, reference is made to an article by J Moore, "Non-Impact Printing: Introduction and Historical Perspective", Output Hard Copy Devices, Editors R Dubeck and S Sherr, pages 207 - 220 (1988).

[0005] Ink Jet printers themselves come in many different types. The utilisation of a continuous stream ink in ink jet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static ink jet printing.

[0006] US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency etectro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)

[0007] Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.

[0008] Recently, thermal ink jet printing has become an extremely popular form of ink jet printing. The ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media. Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.

[0009] As can be seen from the foregoing, many different types of printing technologies are available. Ideally, a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.

[0010] Many ink jet printing mechanisms are known. Unfortunately, in mass production techniques, the production of ink jet heads is quite difficult. For example, often, the orifice or nozzle plate is constructed separately from the ink supply and ink ejection mechanism and bonded to the mechanism at a later stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)). These separate material processing steps required in handling such precision devices often adds a substantially expense in manufacturing.

[0011] Additionally, side shooting ink jet technologies (U.S. Patent No. 4,899,181) are often used but again, this limit the amount of mass production throughput given any particular capital investment.

[0012] Additionally, more esoteric techniques are also often utilised. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.

[0013] The utilisation of the above techniques is likely to add substantial expense to the mass production of ink jet print heads and therefore add substantially to their final cost.

[0014] It would therefore be desirable if an efficient system for the mass production of ink jet print heads could be developed.

[0015] Further, during the construction of micro electromechanical systems, it is common to utilize a sacrificial material to build up a mechanical system, within the sacrificial material being subsequently etched away so as to release the required mechanical structure. For example, a suitable common sacrificial material includes silicon dioxide which can be etched away in hydrofluoric acid. MEMS devices are often constructed on silicon wafers having integral electronics such as, for example, using a multi-level metal CMOS layer. Unfortunately, the CMOS process includes the construction of multiple layers which may include the utilization of materials which can be attacked by the sacrificial etchant. This often necessitates the construction of passivation layers using extra processing steps so as to protect other layers from possible unwanted attack by a sacrificial etchant.

[0016] In micro-electro mechanical system, it is often necessary to provide for the movement of objects. In particular, it is often necessary to pivot objects in addition to providing for fulcrum arrangements where a first movement of one end of the fulcrum is translated into a corresponding measurement of a second end of the fulcrum. Obviously, such arrangements are often fundamental to mechanical apparatuses.

[0017] Further, When constructing large integrated circuits or micro-electro mechanical systems, it is often necessary to interconnect a large number of wire to the final integrated circuit device. To this end, normally, a large number of bond pads are provided on the surface of a chip for the attachment of wires thereto. With the utilization of bond pads normally certain minimal spacings are utilized in accordance with the design technologies utilised. Where are large number of interconnects are required, an excessive amount of on chip real estate is required for providing bond pads. It is therefore desirable to minimize the amount of real estate provided for bond pads whilst ensuring the highest degree of accuracy of registration for automated attachment of interconnects such as a tape automated bonding (TAB) to the surface of a device.

[0018] DE-A-19532913 describes a pressure generating member for applying a pressure to an ink, the member having a symmetric configuration and including a buckling body. The buckling body includes a radially extending ribbed portion on its upper surface and no buckling layer beneath it. A heater layer is interposed between insulating layers for heating the buckling body, the buckling body having its peripheral edge portion fixed on a substrate. A center portion of the buckling body is buckled by being heated. An orifice plate is arranged so as to cover the pressure generating member with interposition of a gap defining a cavity for the ink. The orifice plate is provided with a nozzle serving as an ink discharge outlet located in a portion of the orifice plate opposite to the pressure generating member.

Summary of the Invention



[0019] The present invention relates to ink jet printing and in particular, discloses a new form of ink jet printer which utilises a planar thermoelastic bend actuator to eject ink from a nozzle chamber.

[0020] In accordance with an aspect of the present invention, there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator being activated by means of passing a current through a first layer so as to cause it to expand relative to a second layer. Further, the bottom of the actuator can have a hydrophobic surface and during operation the hydrophobic surface causes an air bubble to form under the thermal actuator. The bottom surface of the actuator can be air vented so as to reduce the actuation energy required to eject ink from the nozzle chamber. Advantageously, the air venting comprises a series of small holes underneath the actuator, the holes being interconnected to an air supply channel for the supply of air to the back of the actuator. Further, the area around the bottom surface of the actuator can be constructed from hydrophobic material. The holes are of a size such that, during operation, any fluid is retained within the nozzle chamber. Preferably, the actuator is attached at one end to the nozzle chamber and the holes are located near the attached end and the actuator is constructed from polytetrafluoroethylene. Further, the actuator can a bottom layer treated in portions so as to form a conductive material.

[0021] The thermal actuator can comprise a lower planar surface constructed from a highly conductive material interconnected to an upper planar material constructed from an electrically resistive material such that upon passing a current between the planar surface, the thermal actuator is caused to bend towards the ink ejection port so as to thereby cause the ejection of ink from the ink ejection port. The actuator is attached to a substrate and further includes a stiff paddle portion which increases the degree of bending of the actuator near the point where it is attached to the substrate. Preferably, the stiff paddle is formed of silicon nitride. Advantageously, the actuator further includes an expansion coating having a high coefficient of thermal expansion on top of the upper planar surface so as to increase the amount of bending of the actuator. The expansion coating can comprise substantially polytetrafluoroethylene. Between the upper and lower planar surfaces there is provided a gap, constructed through the utilisation of a sacrificial material which is deposited and subsequently etched away so as to leave the gap. Further, the upper planar surface includes a plurality of etchant holes provided to allow a more rapid etching of the sacrificial layer during construction. Advantageously, the upper planar surface of the actuator comprises substantially Indium Tin Oxide (ITO) whereas the lower planar surface of the actuator comprises substantially a metal layer. Both surfaces are further coated with a passivation material as required. The ink jet nozzle construction can be formed on a silicon wafer utilising micro-electro mechanical systems construction techniques.

[0022] The thermal actuator can comprise two layers of actuator material having a high coefficient of thermal expansion, a top layer being substantially non conductive and a bottom layer being conductive, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer, which is cooled by the chamber ink. Further, the bottom layer comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the bottom layer through the interaction of the conductive and non-conductive portions. Preferably, the resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of the actuators in those areas. Advantageously, the non-conductive portions are formed from the same material as the top layer.

[0023] The thermal actuator can comprise materials having a high Young's modulus which produce a bending motion upon heating thereby causing the ejection paddle to eject ink from the ink ejection port.

[0024] The thermal actuator can be pivoted so as to increase the degree of travel of the ejection paddle upon actuation of the thermal actuator and can be of a horseshoe shaped form and pivoted substantially around a midpoint. The pivot point can be constructed on a wall of the chamber by means of a thinned membrane, there by allowing the thermal actuator operates in the ambient atmosphere. The nozzle chamber is constructed on a silicon wafer and the ink is supplied through the silicon wafer.

[0025] The thermal actuator can be constructed from a thin conductive section having a high Young's modulus and a substantially thicker non conductive portion. The thin conductive portion can comprise titanium diboride and the thicker portion can comprise glass.

[0026] The nozzle chamber walls can include a number of small sacrificial etchant holes for utilization in construction of the arrangement, the holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom. The arrangement can be constructed using micro-electro mechanical systems techniques including a sacrificial etch and the ejection paddle is released in the sacrificial etch to be in a prefiring position.

Brief Description of the Drawings



[0027] Notwithstanding any other forms which may fall within the scope of the present invention, preferred forms of the invention will now be described, by way of example only, with reference to the accompanying drawings in which:

Fig. 1 is cross-sectional view, partly in section, of a single ink nozzle constructed in accordance with an embodiment of the present invention;

Fig. 2 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;

Fig. 3 provides a legend of the materials indicated in Fig. 4 to Fig. 19; and

Fig. 4 to Fig. 19 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 20 is a cross-sectional schematic diagram of the inkjet nozzle chamber in its quiescent state;

Fig. 21 is a cross-sectional schematic diagram of the inkjet nozzle chamber during activation of the first actuator to eject ink;

Fig. 22 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the first actuator;

Fig. 23 is a cross-sectional schematic diagram of the inkjet nozzle chamber during activation of the second actuator to refill the chamber;

Fig. 24 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the actuator to refill the chamber;

Fig. 25 is a cross-sectional schematic diagram of the inkjet nozzle chamber during simultaneous activation of the ejection actuator whilst deactivation of the pump actuator;

Fig. 26 is a top view cross-sectional diagram of the inkjet nozzle chamber; and

Fig. 27 is a cut out topside view illustrating two adjoining inject nozzels constructed in accordance with an embodiment;

Fig. 28 is an exploded perspective view illustrating the construction of the inkjet nozzle chamber in accordance with an embodiment.

Fig. 29 is a sectional view through the nozzels of Fig. 27;

Fig. 30 is a sectional view through the line IV-IV' of Fig. 29;

Fig. 31 provides a legend of the materials indicated in Fig. 32 to Fig. 45; and

Fig. 32 to Fig. 45 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;

Fig. 46 is a perspective view partly sections of a single ink jet nozzle constructed in accordance with an embodiment;

Fig. 47 is an exploded perspective view partly in section illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;

Fig. 48 provides a legend of the materials indicated in Fig. 49 to Fig. 61; and

Fig. 49 to Fig. 61 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;

Fig. 62 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, in its quiescent state;

Fig. 63 is a cross-sectional schematic diagram of a single ink jet nozzle constructed in accordance with an embodiment, illustrating the activated state;

Fig. 64 is a schematic cross-sectional diagram of a single ink jet nozzle illustrating the deactivation state;

Fig. 65 is a schematic cross-sectional diagram of a single ink jet nozzle constructed in accordance with an embodiment, after returning into its quiescent state;

Fig. 66 is a schematic, cross-sectional perspective diagram of a single ink jet nozzle constructed in accordance with an embodiment;

Fig. 67 is an array view of a group of ink jet nozzles;

Fig. 68 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;

Fig. 69 provides a legend of the materials indicated in Fig. 70 to 81; and

Fig. 70 to Fig. 81 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;

Fig. 82 illustrates a single inkjet nozzle structure of an embodiment;

Fig. 83 is an exploded perspective view of the arrangement of Fig. 82;

Fig. 84 to Fig. 86 illustrate the operation of an embodiment;

Fig. 87 illustrates an array of nozzles as formed for the purposes of utilisation in an inkjet print head;

Fig. 88 provides a legend of the materials indicated in Fig. 89 to Fig. 100; and

Fig. 89 to Fig. 100 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;

Fig. 101 illustrates a perspective view of an ink jet nozzle arrangement in accordance with an embodiment;

Fig. 102 illustrates the arrangement of Fig. 101 when the actuator is in an activated position;

Fig. 103 illustrates an exploded perspective view of the major components of an embodiment;

Fig. 104 provides a legend of the materials indicated in Fig. 105 to Fig. 116; and

Fig. 105 to Fig. 116 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 117 illustrates a single ink ejection mechanism as constructed in accordance with the principles of an embodiment;

Fig. 118 is a section through the line A - A- of the actuator arm of Fig. 117;

Fig. 119 to Fig. 121 illustrate the basic operation of the ink ejection mechanism of an embodiment;

Fig. 122 is an exploded perspective view of an ink ejection mechanism.

Fig. 123 provides a legend of the materials indicated in Fig. 124 to Fig. 138; and

Fig. 124 to Fig. 138 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 139 is a descriptive view of an ink ejection arrangement when in a quiescent state;

Fig. 140 is a descriptive view of an ejection arrangement when an activated state;

Fig. 141 is an exploded perspective view of the different components of an ink ejection arrangement;

Fig. 142 illustrates a cross section through the line IV -IV of Fig. 139;

Fig. 143 to Fig. 162 illustrate the various manufacturing steps in the construction of an embodiment;

Fig. 163 illustrates a portion of an array of ink ejection arrangements as constructed in accordance with an embodiment.

Fig. 164 provides a legend of the materials indicated in Fig. 165 to Fig. 176; and

Fig. 165 to Fig. 176 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 177 to Fig. 181 comprise schematic illustrations of the operation of an embodiment;

Fig. 182 illustrates a side perspective view, of a single nozzle arrangement of an embodiment.

Fig. 183 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment;

Fig. 184 to Fig. 203 are cross sectional views of the processing steps in the construction of an embodiment;

Fig. 204 illustrates a part of an array view of a portion of a printhead as constructed in accordance with the principles of the present invention;

Fig. 205 provides a legend of the materials indicated in Fig. 206 to Fig. 218; and

Fig. 206 to Fig. 220 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 221 to Fig. 225 illustrate schematically the principles operation of an embodiment;

Fig. 226 is a perspective view, partly in section of one form of construction of an embodiment;

Fig. 227 to Fig. 244 illustrate various steps in the construction of an embodiment; and

Fig. 245 illustrates an array view illustrating a portion of a printhead constructed in accordance with an embodiment.

Fig. 246 provides a legend of the materials indicated in Fig. 247 to Fig. 262; and

Fig. 247 to Fig. 263 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 264 to Fig. 268 comprise schematic illustrations of the operation of an embodiment;

Fig. 269 illustrates a perspective view, of a single nozzle arrangement of an embodiment;

Fig. 270 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment;

Fig. 271 to Fig. 289 are cross sectional views of the processing steps in the construction of an embodiment;

Fig. 290 illustrates a part of an array view of a printhead as constructed in accordance with the principles of the present invention;

Fig. 291 provides a legend of the materials indicated in Fig. 292 to Fig. 310; and

Fig. 292 to Fig. 310 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 311 to Fig. 313 are schematic illustrations of the operational principles of an embodiment;

Fig. 314 illustrates a perspective view, partly in section of a single inkjet nozzle of an embodiment;

Fig. 315 is a side perspective view of a single ink jet nozzle of an embodiment;

Fig. 316 to Fig. 325 illustrate the various manufacturing processing steps in the construction of an embodiment;

Fig. 326 illustrates a portion of an array view of a printhead having a large number of nozzles, each constructed in accordance with the principles of the present invention.

Fig. 327 provides a legend of the materials indicated in Fig. 328 to Fig. 338; and

Fig. 328 to Fig. 338 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.

Fig. 339 to Fig. 341 illustrate the basic operational principles of an embodiment;

Fig. 342 is a side perspective view of a single inkjet nozzle arrangement constructed in accordance with an embodiment;

Fig. 343 is a side perspective view of a portion of an array of a printhead constructed in accordance with the principles of an embodiment;

Fig. 344 provides a legend of the materials indicated in Fig. 345 to Fig. 354;

Fig. 345 to Fig. 354 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;

Fig. 355 to Fig. 357 illustrate the operational principles of an embodiment;

Fig. 358 is a side perspective view of a single nozzle arrangement of an embodiment;

Fig. 359 illustrates a side sectional view of a single nozzle arrangement;

Fig. 360 and Fig. 361 illustrate operational principles of an embodiment;

Fig. 362 to Fig. 369 illustrate the manufacturing steps in the construction of an embodiment;

Fig. 370 illustrates a top plan view of a single nozzle;

Fig. 371 illustrates a portion of a single color printhead device;

Fig. 372 illustrates a portion of a three color printhead device;

Fig. 373 provides a legend of the materials indicated in Fig. 374 to Fig. 383; and

Fig. 374 to Fig. 383 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.


Description of the Preferred and Other Embodiments



[0028] The preferred embodiments and other embodiments will be discussed under separate headings with the heading including an U number for ease of reference. The headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.

A Description of IJ03 T



[0029] In an embodiment, there is provided an ink jet printer having nozzle chambers. Each nozzle chamber includes a thermoelastic bend actuator that utilises a planar resistive material in the construction of the bend actuator. The bend actuator is activated when it is required to eject ink from a chamber.

[0030] Turning now to Fig. 1, there is illustrated a cross-sectional view, partly in section of a nozzle arrangement 210 as constructed in accordance with an embodiment. The nozzle arrangement 210 can be formed as part of an array of nozzles fabricated on a semi-conductor wafer utilising techniques known in the production of micro-electro-mechanical systems (MEMS). For a general introduction to a micro-electric mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The nozzle arrangement 210 includes a boron doped silicon wafer layer 212 which can be constructed by a back etching a silicon wafer 218 which has buried boron doped EPITAXIAL LAYER. The boron doped layer can be further etched so as to define a nozzle hole 213 and rim 214.

[0031] The nozzle arrangement 210 includes a nozzle chamber 216 which can be constructed by utilisation of an anisotropic crystallographic etch of the silicon portions 218 of the wafer.

[0032] On top of the silicon portions 218 is included a glass layer 220 which can comprise CMOS drive circuitry including a two level metal layer (not shown) so as to provide control and drive circuitry for the thermal actuator. On top of the CMOS glass layer 220 is provided a nitride layer 221 which includes side portions 222 which act to passivate lower layers from etching that is utilised in construction of the nozzle arrangement 210. The nozzle arrangement 210 includes a paddle actuator 224 which is constructed on a nitride base 225 which acts to form a rigid paddle for the overall actuator 224. Next, an aluminium layer 227 is provided with the aluminium layer 227 being interconnected via the vias 228 to the lower CMOS circuitry so as to form a first portion of a circuit. The aluminium layer 227 is interconnected at a point 230 to an Indium Tin Oxide (ITO) layer 229 which provides for resistive heating on demand. The ITO layer 229 includes a number of etch holes 231 for allowing the etching away of a lower level sacrificial layer which is formed between the layers 227, 229. The ITO layer is further connected to the lower glass CMOS circuitry layer via the via 232.

[0033] On top of the ITO layer 229 is optionally provided a polytetrafluoroethylene layer which provides for insulation and the further form of rapid expansion of the top layer 229 upon heating as a result of passing a current through the bottom layer 227 and ITO layer 229 (not shown).

[0034] The back surface of the nozzle arrangement 210 is placed in an ink reservoir so as to allow ink to flow into nozzle chamber 216. When it is desired to eject a drop of ink, a current is passed through the aluminium layer 227 and ITO layer 229. The aluminium layer 227 provides a very low resistance path to the current whereas the ITO layer 229 provides a high resistance path to the current. Each of the layers 227, 229 are passivated by means of coating by a thin nitride layer (not shown) so as to insulate and passivate the layers from the surrounding ink. Upon heating of the ITO layer 229 and optionally PTFE layer, the top of the actuator 224 expands more rapidly than the bottom portions of the actuator 224. This results in a rapid bending of the actuator 224, particularly around the point 235 due to the utilisation of the rigid nitride paddle arrangement 225. This accentuates the downward movement of the actuator 224 which results in the ejection of ink from ink ejection nozzle 213.

[0035] Between the two layers 227, 229 is provided a gap 228 which can be constructed via utilisation of etching of sacrificial layers so as to dissolve away sacrificial material between the two layers. Hence, in operation ink is allowed to enter this area and thereby provides a further cooling of the lower surface of the actuator 224 so as to assist in accentuating the bending. Upon de-activation of the actuator 224, it returns to its quiescent position above the nozzle chamber 216. The nozzle chamber 216 refills due to the surface tension of the ink through the gaps between the actuator 224 and the nozzle chamber 216.

[0036] The PTFE layer has a high coefficient of thermal expansion and therefore further assists in accentuating any bending of the actuator 224. Therefore, in order to eject ink from the nozzle chamber 216, a current is passed through the planar layers 227, 229 resulting in resistive heating of the top layer 229 which further results in a general bending down of the actuator 224 resulting in the ejection of ink. The nozzle arrangement 210 is mounted on a second silicon chip wafer which defines an ink reservoir channel to the back of the nozzle arrangement 210 for resupply of ink.

[0037] Turning now to Fig. 2, there is illustrated an exploded perspective view illustrating the various layers of a nozzle arrangement 210. The arrangement 210 can, as noted previously, be constructed from back etching to the boron doped layer. The actuator 224 can further be constructed through the utilisation of a sacrificial layer filling the nozzle chamber 216 and the depositing of the various layers 225, 227, 229 and optional PTFE layer before sacrificially etching the nozzle chamber 216 in addition to the sacrificial material in area 228. To this end, the nitride layer 221 includes side portions 222 which act to passivate the portions of the lower glass layer 220 which would otherwise be attacked as a result of sacrificial etching.

[0038] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.

2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.

3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 4. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 3 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

4. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the bend actuator electrode contact vias. This step is shown in Fig. 5.

5. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 6.

6. Deposit 0.5 microns of low stress PECVD silicon nitride (Si3N4). The nitride acts as an ion diffusion barrier. This step is shown in Fig. 7.

7. Deposit a thick sacrificial layer (e.g. low stress glass), filling the nozzle cavity. Planarize the sacrificial layer down to the nitride surface. This step is shown in Fig. 8.

8. Deposit 1 micron of tantalum. This layer acts as a stiffener for the bend actuator.

9. Etch the tantalum using Mask 2. This step is shown in Fig. 9. This mask defines the space around the stiffener section of the bend actuator, and the electrode contact vias.

10. Etch nitride still using Mask 2. This clears the nitride from the electrode contact vias. This step is shown in Fig. 10.

11. Deposit one micron of gold, patterned using Mask 3. This may be deposited in a lift-off process. Gold is used for its corrosion resistance and low Young's modulus. This mask defines the lower conductor of the bend actuator. This step is shown in Fig. 11.

12. Deposit 1 micron of thermal blanket. This material should be a non-conductive material with a very low Young's modulus and a low thermal conductivity, such as an elastomer or foamed polymer.

13. Pattern the thermal blanket using Mask 4. This mask defines the contacts between the upper and lower conductors, and the upper conductor and the drive circuitry. This step is shown in Fig. 12.

14. Deposit 1 micron of a material with a very high resistivity (but still conductive), a high Young's modulus, a low heat capacity, and a high coefficient of thermal expansion. A material such as indium tin oxide (ITO) may be used, depending upon the dimensions of the bend actuator.

15. Pattern the ITO using Mask 5. This mask defines the upper conductor of the bend actuator. This step is shown in Fig. 13.

16. Deposit a further 1 micron of thermal blanket.

17. Pattern the thermal blanket using Mask 6. This mask defines bend actuator, and allows ink to flow around the actuator into the nozzle cavity. This step is shown in Fig. 14.

18. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 15.

19. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 16.

20. Plasma back-etch through the boron doped layer using Mask 8. This mask defines the nozzle, and the edge of the chips.

21. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 17.

22. Strip the adhesive layer to detach the chips from the glass blank.

23. Etch the sacrificial glass layer in buffered HF. This step is shown in Fig. 18.

24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.

25. Connect the print heads to their interconnect systems.

26. Hydrophobize the front surface of the print heads.

27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 19.


A Description of IJ24 T



[0039] In an embodiment, an inkjet nozzle is provided having a thermally based actuator which is highly energy efficient. The thermal actuator is located within a chamber filled with ink and relies upon the thermal expansion of materials when an electric current is being passed through them to activate the actuator thereby causing the ejection of ink out of a nozzle provided in the nozzle chamber.

[0040] Turning to the Figures, in Fig. 27, there are illustrated two adjoining inkjet nozzles 2410 constructed in accordance with an embodiment, with Fig. 28 showing an exploded perspective and Fig. 30 and 2404 showing various sectional views. Each nozzle 2410, can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.

[0041] The nozzle chamber 2410 includes a ink ejection port 2411 for the ejection of ink from within the nozzle chamber. Ink is supplied via an inlet port 2412 which has a grill structure fabricated from a series of posts 2414, the grill acting to filter out foreign bodies within the ink supply and also to provide stability to the nozzle chamber structure. Inside the nozzle chamber is constructed a thermal actuator device 2416 which is interconnected to an electric circuit (not shown) which, when thermally actuated, acts as a paddle bending upwards so as to cause the ejection of ink from each ink ejection port 2411. A series of etchant holes e.g. 2418 are also provided in the top of nozzle chamber 2410, the holes 2418 being provided for manufacturing purposes only so to allow a sacrificial etchant to easily etch away the internal portions of nozzle chamber 2410. The etchant ports 2418 are of a sufficiently small diameter so that the resulting surface tension holds the ink within chamber 2410 such that no ink leaks out via ports 2418.

[0042] The thermal actuator 2416 is composed primarily of polytetrafluoroethylene (PTFE) which is a generally hydrophobic material. The top layer of the actuator 2416 is treated or coated so as to make it hydrophilic and thereby attract water/ink via inlet port 2412. Suitable treatments include plasma exposure in an ammonia atmosphere. The bottom surface remains hydrophobic and repels the water from the underneath surface of the actuator 2416. Underneath the actuator 2416 is provided a further surface 2419 also composed of a hydrophobic material such as PTFE. The surface 2419 has a series of holes 2420 in it which allow for the flow of air into the nozzle chamber 2410. The diameter of the nozzle holes 2420 again being of such a size so as to restrict the flow of fluid out of the nozzle chamber via surface tension interactions out of the nozzle chamber.

[0043] The surface 2419 is separated from a lower level 2423 by means of a series of spaced apart posts e.g. 2422 which can be constructed when constructing the layer 2419 utilising an appropriate mask. The nozzle chamber 2410, but for grill inlet port 2412, is walled on its sides by silicon nitride walls e.g. 2425,2426. An air inlet port is formed between adjacent nozzle chambers such that air is free to flow between the walls 2425,2428. Hence, air is able to flow down channel 2429 and along channel 2430 and through holes e.g. 2420 in accordance with any fluctuating pressure influences.

[0044] The air flow acts to reduce the vacuum on the back surface of actuator 2416 during operation. As a result, less energy is required for the movement of the actuator 2416. In operation, the actuator 2416 is thermally actuated so as to move upwards and cause ink ejection. As a result, air flows in along channels 2429,2430 and through the holes e.g. 2420 into the bottom area of actuator 2416. Upon deactivation of the actuator 2416, the actuator lowers with a corresponding airflow out of port 2420 along channel 2430 and out of channel 2429. Any fluid within nozzle chamber 2410 is firstly repelled by the hydrophobic nature of the bottom side of the surface of actuator 2416 in addition to the top of the surface 2419 which is again hydrophobic. As noted previously the limited size holes e.g. 2420 further stop the fluid from passing the holes 2420 as a result of surface tension characteristics.

[0045] A further preferable feature of nozzle chamber 2410 is the utilisation of the nitride posts 2414 to also clamp one end of the surfaces 2416 and 2419 firmly to bottom surface 2420 thereby reducing the likelihood delaminating during operation.

[0046] In Fig. 28, there is illustrated an exploded perspective view of a single nozzle arrangement 2410. The exploded perspective view illustrates the form of construction of each layer of a simple nozzle arrangement 2410. The nozzle arrangement can be constructed on a base silicon wafer 2434 having a top glass layer which includes the various drive and control circuitry and which, for example, can comprise a two level metal CMOS layer with the various interconnects (not shown). On top of the layer 2435 is first laid out a nitride passivation layer 2423 of approximately one micron thickness which includes a number of vias (not shown) for the interconnection of the subsequent layers to the CMOS layer 2435. The nitride layer is provided primarily to protect lower layers from corrosion or etching, especially where sacrificial etchants are utilized. Next, a one micron PTFE layer 2419 is constructed having the aforementioned holes e.g. 2420 and posts 2422. The structure of the PTFE layer 2419 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2419 is deposited. The PTFE layer 2419 includes various features, for example, a lower ridge portion 2438 in addition to a hole 2439 which acts as a via for the subsequent material layers.

[0047] The actuator proper is formed from two PTFE layers 2440,2441. The lower PTFE layer 2440 is made conductive. The PTFE layer 2440 can be made conductive utilising a number of different techniques including:

(i) Doping the PTFE layer with another material so as to make it conductive.

(ii) Embedding within the PTFE layer a series of quantum wires constructed from such a material as carbon nano-tubes created in a mesh form. ("Individual single-wall carbon nano-tubes as quantum wires" by Tans et al Nature, Volume 386, 3rd April 1997 at pages 474-477). The PTFE layer 2440 includes certain cut out portions e.g. 2443 so that a complete circuit is formed around the PTFE actuator 2440. The cut out portions can be optimised so as to regulate the resistive heating of the layer 2440 by means of providing constricted portions so as to thereby increase the heat generated in various "hot spots" as required. A space is provided between the PTFE layer 2419 and the PTFE layer 2440 through the utilisation of an intermediate sacrificial glass layer (not shown).



[0048] On top of the PTFE layer 2440 is deposited a second PTFE layer 2441 which can be a standard non conductive PTFE layer and can include filling in those areas in the lower PTFE layer e.g. 2443 which are not conductive. The top of the PTFE layer is further treated or coated to make it hydrophilic.

[0049] Next, a nitride layer can be deposited to form the nozzle chamber proper. The nitride layer can be formed by first laying down a sacrificial glass layer and etching the glass layer to form walls e.g. 2425, 2426 and grilled portion e.g. 2414. Preferably, the mask utilised results a first anchor portion 2445 which mates with the hole 2439 in layer 2419 so as to fix the layer 2419 to the nitride layer 2423. Additionally, the bottom surface of the grill 2414 meets with a corresponding step 2447 in the PTFE layer 2441 so as to clamp the end portion of the PTFE layers 2441,2440 and 2439 to the wafer surface so as to guard against delamination. Next, a top nitride layer 2450 can be formed having a number of holes e.g. 2418 and nozzle hole 2411 around which a rim can be etched through etching of the nitride layer 2450. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.

[0050] Obviously, large arrays of inkjet nozzles 2410 can be created side by side on a single wafer. The ink can be supplied via ink channels etched through the wafer utilising a high density low pressure plasma etching system such as that supplied by Surface Technology Systems of the United Kingdom.

[0051] The foregoing describes only one embodiment of the invention and many variations of the embodiment will be obvious for a person skilled in the art of semi conductor, micro mechanical fabrication. Certainly, various other materials can be utilised in the construction of the various layers.

[0052] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 32. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 31 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.

3. Deposit 2 microns of sacrificial material (e.g. polyimide).

4. Etch the sacrificial layer using Mask 1. This mask defines the PTFE venting layer support pillars and anchor point. This step is shown in Fig. 33.

5. Deposit 2 microns of PTFE.

6. Etch the PTFE using Mask 2. This mask defines the edges of the PTFE venting layer, and the holes in this layer. This step is shown in Fig. 34.

7. Deposit 3 micron of sacrificial material (e.g. polyimide).

8. Etch the sacrificial layer and CMOS passivation layer using Mask 3. This mask defines the actuator contacts. This step is shown in Fig. 35.

9. Deposit 1 micron of conductive PTFE. Conductive PTFE can be formed by doping the PTFE with a conductive material, such as extremely fine metal or graphitic filaments, or fine metal particles, and so forth. The PTFE should be doped so that the resistance of the PTFE conductive heater is sufficiently low so that the correct amount of power is dissipated by the heater when the drive voltage is applied. However, the conductive material should be a small percentage of the PTFE volume, so that the coefficient of thermal expansion is not significantly reduced. Carbon nanotubes can provide significant conductivity at low concentrations. This step is shown in Fig. 36.

10. Etch the conductive PTFE using Mask 4. This mask defines the actuator conductive regions. This step is shown in Fig. 37.

11. Deposit 1 micron of PTFE.

12. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator paddle. This step is shown in Fig. 38.

13. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.

14. Plasma process the PTFE to make the top and side surfaces of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity.

15. Deposit 10 microns of sacrificial material.

16. Etch the sacrificial material down to nitride using Mask 6. This mask defines the nozzle chamber and inlet filter. This step is shown in Fig. 39.

17. Deposit 3 microns of PECVD glass. This step is shown in Fig. 40.

18. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 41.

19. Etch down to the sacrificial layer using Mask 8. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 42.

20. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 43.

21. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.

22. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 44.

23. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

24. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

25. Hydrophobize the front surface of the print heads.

26. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 45.


A Description of IJ27 T



[0053] In an embodiment, a "roof shooting" ink jet print head is constructed utilising a buckle plate actuator for the ejection of ink. In an embodiment, the buckle plate actuator is constructed from polytetrafluoroethylene (PTFE) which provides superior thermal expansion characteristics. The PTFE is heated by an integral, serpentine shaped heater, which preferably is constructed from a resistive material, such as copper.

[0054] Turning now to Fig. 46 there is shown a sectional perspective view of an ink jet head 2701 of an embodiment. The ink jet head includes a nozzle chamber 2702 in which ink is stored to be ejected. The chamber 2702 can be independently connected to an ink supply (not shown) for the supply and refilling of the chamber. At the base of the chamber 2702 is a buckle plate 2703 which comprises a heater element 2704 which can be an electrically resistive such as copper. The heater element 2704 is encased in a polytetrafluoroethylene layer 2705. The utilisation of the PTFE layer 2705 allows for high rates of thermal expansion and therefore more effective operation of the buckle plate 2703. PTFE has a high coefficient of thermal expansion (77010-6) with the copper having a much lower degree of thermal expansion. The copper layer 2704 is therefore fabricated in a serpentine pattern so as to allow the expansion of the PTFE layer to proceed unhindered. The serpentine fabrication of the heater means that the two coefficients of thermal expansion of the PTFE and the heater material need not be closely matched. The PTFE is primarily chosen for its high thermal expansion properties.

[0055] Current can be supplied to the buckle plate 2703 via means of connectors 2707, 2708 which inter-connect the buckle plate 2703 with a lower drive circuitry and logic layer 2709. Hence, to operate the ink jet head 2701, the heater coil 2704 is energised thereby heating the PTFE 2705. The PTFE 2705 expands and buckles between end portions 2712, 2713. The buckle causes initial ejection of ink out of a nozzle 2715 located at the top of the nozzle chamber 2702. There is an air bubble between the buckle plate 2703 and the adjacent wall of the chamber which forms due to the hydrophobic nature of the PTFE on the back surface of the buckle plate 2703. An air vent 2717 connects the air bubble to the ambient air through a channel 2718 formed between a nitride layer 2719 and an additional PTFE layer 2720, separated by posts, e.g. 2721, and through holes, e.g. 2722, in the PTFE layer 2720. The air vent 2717 allows the buckle plate 2703 to move without being held back by a reduction in air pressure as the buckle plate 2703 expands. Subsequently, power is turned off to the buckle plate 2703 resulting in a collapse of the buckle plate and the sucking back of some of the ejected ink. The forward motion of the ejected ink and the sucking back is resolved by an ink drop breaking off from the main volume of ink and continuing onto a page. Ink refill is then achieved by surface tension effects across the nozzle part 2715 and a resultant inflow ink into the nozzle chamber 2702 through the grilled supply channel 2716.

[0056] Subsequently the nozzle chamber 2702 is ready for refiring.

[0057] It has been found in simulations of an embodiment that the utilization of the PTFE layer and serpentine heater arrangement allows for a substantial reduction in energy requirements of operation in addition to a more compact design.

[0058] Turning now to Fig. 47, there is provided an exploded perspective view partly in sections illustrating the construction of a single ink jet nozzle in accordance with an embodiment. The nozzle arrangement 2701 is fabricated on top of a silicon wafer 2725. The nozzle arrangement 2701 can be constructed on the semi-conductor wafer 2725 utilising standard semi-conductor processing techniques in addition to those techniques commonly used for the construction of micro-electro-mechanical systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.

[0059] On top of the silicon layer 2725 is deposited a two level CMOS circuitry layer 2726 which substantially comprises glass, in addition to the usual metal layers. Next a nitride layer 2719 is deposited to protect and passivate the underlying layer 2726. The nitride layer 2719 also includes vias for the interconnection of the heater element 2704 to the CMOS layer 2726. Next, a PTFE layer 2720 is constructed having the aforementioned holes, e.g. 2722, and posts, e.g. 2721. The structure of the PTFE layer 2720 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2720 is deposited. The PTFE layer 2720 includes various features, for example, a lower ridge portion 2727 in addition to a hole 2728 which acts as a via for the subsequent material layers. The buckle plate 2703 (Fig. 46) comprises a conductive layer 2731 and a PTFE layer 2732. A first, thicker PTFE layer is deposited onto a sacrificial layer (not shown). Next, a conductive layer 2731 is deposited including contacts 2729, 2730. The conductive layer 2731 is then etched to form a serpentine pattern. Next, a thinner, second PTFE layer is deposited to complete the buckle plate 2703 (Fig. 46) structure.

[0060] Finally, a nitride layer can be deposited to form the nozzle chamber proper. The nitride layer can be formed by first laying down a sacrificial glass layer and etching this to form walls, e.g. 2733, and grilled portions, e.g. 2734. Preferably, the mask utilised results in a first anchor portion 2735 which mates with the hole 2728 in layer 2720. Additionally, the bottom surface of the grill, for example 2734 meets with a corresponding step 2736 in the PTFE layer 2732. Next, a top nitride layer 2737 can be formed having a number of holes, e.g. 2738, and nozzle port 2715 around which a rim 2739 can be etched through etching of the nitride layer 2737. Subsequently the various sacrificial layers can be etched away so as to release the structure of the thermal actuator and the air vent channel 2718 (Fig. 46).

[0061] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 49. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 48 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.

3. Deposit 2 microns of sacrificial material (e.g. polyimide).

4. Etch the sacrificial layer using Mask 1. This mask defines the PTFE venting layer support pillars and anchor point. This step is shown in Fig. 50.

5. Deposit 2 microns of PTFE.

6. Etch the PTFE using Mask 2. This mask defines the edges of the PTFE venting layer, and the holes in this layer. This step is shown in Fig. 51.

7. Deposit 3 microns of sacrificial material.

8. Etch the sacrificial layer using Mask 3. This mask defines the anchor points at both ends of the buckle actuator. This step is shown in Fig. 52.

9. Deposit 1.5 microns of PTFE.

10. Deposit and pattern resist using Mask 4. This mask defines the heater.

11. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 10 and 11 form a lift-off process. This step is shown in Fig. 53.

12. Deposit 0.5 microns of PTFE.

13. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 54.

14. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.

15. Plasma process the PTFE to make the top and side surfaces of the buckle actuator hydrophilic. This allows the nozzle chamber to fill by capillarity.

16. Deposit 10 microns of sacrificial material.

17. Etch the sacrificial material down to nitride using Mask 6. This mask defines the nozzle chamber. This step is shown in Fig. 55.

18. Deposit 3 microns of PECVD glass. This step is shown in Fig. 56.

19. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 57.

20. Etch down to the sacrificial layer using Mask 8. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 58.

21. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 59.

22. Back-etch the CMOS oxide layers and subsequently deposited nitride layers and sacrificial layer through to PTFE using the back-etched silicon as a mask.

23. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 60.

24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

25. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

26. Hydrophobize the front surface of the print heads.

27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 61.


A Description of IJ29 T



[0062] In an embodiment, a new form of thermal actuator is utilized for the ejection of drops of ink on demand from an ink nozzle. Turning now to Fig. 62 to Fig. 65, there will be illustrated the basis of operation of the inkjet printing device utilising the actuator. Turning initially to Fig. 62, there is illustrated 2901, the quiescent position of a thermal actuator 2902 in a nozzle chamber 2903 filled with ink and having a nozzle 2904 for the ejection of ink. The nozzle 2904 has an ink meniscus 2905 in a state of surface tension ready for the ejection of ink. The thermal actuator 2902 is coated on a first surface 2906, facing the chamber 2903, with a hydrophilic material. A second surface 2907 is coated with a hydrophobic material which causes an air bubble 2908 having a meniscus 2909 underneath the actuator 2902. The air bubble 2908 is formed over time by outgassing from the ink within chamber 2903 and the meniscus 2909 is shown in an equilibrium position between the hydrophobic 2907 and hydrophilic 2906 surfaces. The actuator 2902 is fixed at one end 2911 to a substrate 2912 from which it also derives an electrical connection.

[0063] When it is desired to eject a drop from the nozzle 2904, the actuator 2902 is activated as shown in Fig. 63, resulting in a movement in direction 2914, the movement in direction 2914 causes a substantial increase in the pressure of the ink around the nozzle 2904. This results in a general expansion of the meniscus 2905 and the passing of momentum to the ink so as to form a partial drop 2915. Upon movement of the actuator 2902 in the direction 2914, the ink meniscus 2909 collapses generally in the indicated direction 2916.

[0064] Subsequently, the thermal actuator 2902 is deactivated as illustrated in Fig. 64, resulting in a return of the actuator 2902 in the direction generally indicated by the arrow 2917. The movement back of the actuator 2917 results in a low pressure region being experienced by the ink within the nozzle area 2904. The forward momentum of the drop 2915 and the low pressure around the nozzle 2904 results in the ink drop 2915 being broken off from the main body of the ink. The drop 2915 continues to the print media as required. The movement of the actuator 2902 in the direction 2917 further causes ink to flow in the direction 2919 around the actuator 2902 in addition to causing the meniscus 2909 to move as a result of the ink flow 2919. Further, further ink 2920 is sucked into the chamber 2903 to refill the ejected ink 2915.

[0065] Finally, as illustrated in Fig. 65, the actuator 2902 returns to its quiescent with the meniscus 2905 also returning to a state of having a slight bulge. The actuator 2902 is then in a state for refiring of another drop on demand as required.

[0066] In one form of implementation of an inkjet printer utilizing the method illustrated in Fig. 62 to Fig. 65, standard semi-conductive fabrication techniques are utilized in addition to standard micro-electro-mechanical (MEMs) to construct a suitable print device having a polarity of the chambers as illustrated in Fig. 62 with corresponding actuators 2902.

[0067] Turning now to Fig. 245, there is illustrated a cross-section through one form of suitable nozzle chamber. One end 2911 of the actuator 2902 is connected to the substrate 2912 and the other end includes a stiff paddle 2925 for utilisation in ejecting ink. The actuator itself is constructed from four a layer MEMs processing technique. The layers are as follows:

1. A polytetrafluoroethylene (PTFE) lower layer 2926. PTFE has a very high coefficient of thermal expansion (approximately 770 x 10-6, or around 380 times that of silicon). This layer expands when heated by a heater layer.

2. A heater layer 2927. A serpentine heater 2927 is etched in this layer, which may be formed from nichrome, copper or other suitable material with a resistivity such that the drive voltage for the heater is compatible with the drive transistors utilized. The serpentine heater 2927 is arranged to have very little tensile strength in the direction 2929 along the length of the actuator.

3. A PTFE upper layer 2930. This layer 2930 expands when heated by the heater layer.

4. A silicon nitride layer 2932. This is a thin layer 2932 is of high stiffness and low coefficient of thermal expansion. Its purpose is to ensure that the actuator bends, instead of simply elongating as a result of thermal expansion of the PTFE layers. Silicon nitride can be used simply because it is a standard semi-conductor material, and SiO2 cannot easily be used if it is also the sacrificial material used when constructing the device.



[0068] Operation of the ink jet actuator 2902 will then be as follows:

1. When data signals distributed on the print-head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energises the heater 2927 in the paddle for that nozzle. The heater is energised for approximately 2 µm, with the actual duration depending upon the exact design chosen for the actuator nozzle and the inks utilized.

2. The heater 2927 heats the PTFE layers 2926, 2930 which expand at a rate many times that of the Si3N4 layer 2932. This expansion causes the actuator 2902 to bend, with the PTFE layer 2926 being the convex side. The bending of the actuator moves the paddle, pushing ink out of the nozzle. The air bubble 2908 (Fig. 62) between the paddle and the substrate, forms due to the hydrophobic nature of the PTFE on the back surface of the paddle.. This air bubble reduces the thermal coupling to the hot side of the actuator, achieving a higher temperature with lower power. The cold side of the actuator including SiN layer 2932 will still be water cooled. The air bubble will also expand slightly when heated, helping to move the paddle. The presence of the air bubble also means that less ink is required to move under the paddle when the actuator is energised. These three factors lead to a lower power consumption of the actuator.

3. When the heater current is turned off, as noted previously, the paddle 2925 begins to return to its quiescent position. The paddle return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop to the ink in the nozzle to thin. The forward velocity of the drop and the backward velocity of the ink in the chamber are resolved by the ink drop breaking off from the ink in the nozzle. The ink drop then continues towards the recording medium.

4. The actuator 2902 is finally at rest in the quiescent position until the next drop ejection cycle. Basic Fabrications Sequence



[0069] One form of print-head fabrication sequence utilizing MEMs technology will now be described. The description assumes that the reader is familiar with surface and micromachining techniques utilized for the construction of MEMs devices, including the latest proceedings in these areas. Turning now to Fig. 67, there is illustrated an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment. The construction of a print-head can proceed as follows:

1. Start with a standard single crystal silicon wafer 2980 suitable for the desired manufacturing process of the active semiconductor device technology chosen. Here the manufacturing process is assumed to be 0.5µm CMOS.

2. Complete fabrication the CMOS circuitry layer 2983, including an oxide layer (not shown) and passivation layer 2982 for passivation of the wafer. As the chip will be immersed in water based ink, the passivation layer must be highly impervious. A layer of high density silicon nitride (Si3N4) is suitable. Another alternative is diamond-like carbon (DLC).

3. Deposit 2µm of phophosilicate glass (PSG). This will be a sacrificial layer which raises the actuator layer which raises the actuator and paddle from the substrate. This thickness is not critical.

4. Etch the PSG to leave islands under the actuator positions on which the actuators will be formed.

5. Deposit 1.0µm of polytetrafluoroethylene (PTFE) layer 2984. The PTFE may be roughened to promote adhesion. The PTFE may be deposited as a spin-on nanoemulsion. [T. Rosenmayer, H. Wu, "PTFE nanoemulsions as spinon, low dielectric constant materials for ULSI applications", PP463-468, Advanced Metallisation for Future ULSI, MRS vol. 427,1996].

6. Mask and etch via holes through to the top level metal of the CMOS circuitry for connection of a power supply to the actuator (not shown). Suitable etching procedures for PTFE are discussed in "Thermally assisted Ian Beam Etching of polytetrafluoroethylene: A new technique for High Aspect Ratio Etching of MEMS" by Berenschot et al in the Proceedings of the Ninth Annual International Workshop on Micro Electro Mechanical Systems, San Diego, February 1996.

7. Deposit the heater material layer 2985. This may be Nichrome (an alloy of 80% nickel and 20% chromium) which may be deposited by sputtering. Many other heater materials may be used. The principal requirements are a resistivity which results in a drive voltage which is suitable for the CMOS drive circuitry layer, a melting point above the temperature of subsequent process steps, electromigration resistance, and appropriate mechanical properties.

8. Etch the heater material using a mask pattern of the heater and the paddle stiffener.

9. Deposit 2.0µm of PTFE. As with step 5, the PTFE may be spun on as a nanoemulsion, and may be roughened to promote adhesion. (This layer forms part of layer 2984 in Fig. 67.)

10. Deposit via a mask 0.25 of silicon nitride for the top of the layer 2986 of the actuator, or any of a wide variety of other materials having suitable properties as previously described. The major materials requirements are: a low coefficient of thermal expansion compared to PTFE; a relatively high Young's modulus, does not corrode in water, and a low etch rate in hydrofluoric acid (HF). The last of these requirements is due to the subsequent use of HF to etch the sacrificial glass layers. If a different sacrificial layer is chosen, then this layer should obviously have resistance to the process used to remove the sacrificial material.

11. Using the silicon nitride as a mask, etch the PTFE, PTFE can be etched with very high selectivity (>1,000 to one) with ion beam etching. The wafer may be tilted slightly and rotated during etching to prevent the formation of microglass. Both layers of PTFE can be etched simultaneously.

12. Deposit 20 µm of SiO2. This may be deposited as spin-on glass (SOG) and will be used as a sacrificial layer (not shown).

13. Etch through the glass layer using a mask defining the nozzle chamber and ink channel walls, e.g. 2951, and filter posts, e.g. 2952. This etch is through around 20 µm of glass, so should be highly anisotropic to minimise the chip area required. The minimum line width is around 6 µm, so coarse lithography may be used. Overlay alignment error should preferably be less than 0.5 µm. The etched areas are subsequently filled by depositing silicon nitride through the mask.

14. Deposit 2 µm of silicon nitride layer 2987. This forms the front surface of the print-head. Many other materials could be used. A suitable material should have a relatively high Young's modulus, not corrode in water, and have a low etch rate in hydrofluoric acid (HF). It should also be hydrophilic.

15. Mask and etch nozzle rims (not shown). These are 1 µm annular protrusions above the print-head surface around the nozzles, e.g. 2904, which help to prevent ink flooding the surface of the print-head. They work in conjunction with the hydrophobising of the print-head front surface.

16. Mask and etch the nozzle holes 2904. This mask also includes smaller holes, e.g. 2947, which are placed to allow the ingress of the etchant for the sacrificial layers. These holes should be small enough to that the ink surface tension ensures that ink is not ejected from the holes when the ink pressure waves from nearby actuated nozzles is at a maximum. Also, the holes should be small enough to ensure that air bubbles are not ingested at times of low ink pressure. These holes are spaced close enough so that etchant can easily remove all of the sacrificial material even though the paddle and actuator are fairly large and flexible, stiction should not be a problem for this design. This is because the paddle is made from PTFE.

17. Etch ink access holes (not shown) through the wafer 2980. This can be done as an anisotropic crystallographic silicon etch, or an anisotropic dry etch. A dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over wet etch. The wet etch is suitable for small volume production, as the chip size can be reduced over wet etch. The wet etch is suitable for small volume production where a suitable plasma etch system is not available. Alternatively, but undesirably, ink access can be around the sides of the print-head chips. If ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print-head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35 µm apart, increasing the number of chips on a wafer. At this stage, the chips must be handled carefully, as each chip is a beam of silicon 100mm long by 0.5mm wide and 0.7mm thick.

18. Mount the print-head chips into print-head carriers. These are mechanical support and ink connection mouldings. The print-head carriers can be moulded from plastic, as the minimum dimensions are 0.5mm.

19. Probe test the print-heads and bond the good print-heads. Bonding may be by wire bonding or TAB bonding.

20. Etch the sacrificial layers. This can be done with an isotropic wet etch, such as buffered HF This stage is performed after the mounting of the print-heads into moulded print-head carriers, and after bonding, as the front surface of the print-heads is very fragile after the sacrificial etch has been completed. There should be no direct handling of the print-head chips after the sacrificial etch.

21. Hydrophobise the front surface of the print heads.

22. Fill with ink and perform final testing on the completed print heads.



[0070] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 70. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 69 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.

3. Deposit 3 micron of sacrificial material (e.g. polyimide).

4. Etch the sacrificial layer using Mask 1. This mask defines the actuator anchor point. This step is shown in Fig. 71.

5. Deposit 0.5 microns of PTFE.

6. Etch the PTFE, nitride, and CMOS passivation down to second level metal using Mask 2. This mask defines the heater vias. This step is shown in Fig. 72.

7. Deposit and pattern resist using Mask 3. This mask defines the heater.

8. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 7 and 8 form a lift-off process. This step is shown in Fig. 73.

9. Deposit 1.5 microns of PTFE.

10. Etch the PTFE down to the sacrificial layer using Mask 4. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 74.

11. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.

12. Plasma process the PTFE to make the top surface hydrophilic. This allows the nozzle chamber to fill by capillarity, but maintains a hydrophobic layer underneath the paddle, which traps an air bubble. The air bubble reduces the negative pressure on the back of the paddle, and increases the temperature achieved by the heater.

13. Deposit 10 microns of sacrificial material.

14. Etch the sacrificial material down to nitride using Mask 5. This mask defines the nozzle chamber and the nozzle inlet filter. This step is shown in Fig. 75.

15. Deposit 3 microns of PECVD glass. This step is shown in Fig. 76.

16. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 77.

17. Etch down to the sacrificial layer using Mask 7. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 78.

18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 79.

19. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.

20. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 80.

21. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

22. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

23. Hydrophobize the front surface of the print heads.

24. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 81.


A Description of IJ32 T



[0071] In an embodiment, the actuation of an actuator for the ejection of ink is based around the utilisation of material having a High Young's modulus.

[0072] In an embodiment, materials are utilised for the ejection of ink which have a high bend efficiency when thermally heated. The inkjet print head is constructed utilising standard MEMS technology and therefore should utilise materials that are common in the construction of semi-conductor wafers. In an embodiment, the materials have been chosen through the utilisation of a bend efficiency for actuator devices which can be calculated as the coefficient of thermal expansion times young's modulus divided by the heat capacity and the density.

[0073] Of course, different equations could be utilised and, in particular, the factors on the numerator and the denominator have been chosen for their following qualities.

[0074] Coefficient of thermal expansion: The greater the coefficient of thermal expansion, the greater will be the degree of movement for any particular heating of a thermal actuator.

[0075] Young's Modulus: The Young's modulus provides a measure of the tensile or compressive stress of a material and is an indicator of the "strength" of the bending movement. Hence, a material having a high Young's modulus or strength is desirable.

[0076] Heat capacity: In respect of the heat capacity, the higher the heat capacity, the greater the ability of material to absorb heat without deformation. This is an undesirable property in a thermal actuator.

[0077] Density: The denser the material the greater the heat energy required to heat the material and again, this is an undesirable property.

[0078] Example materials and their corresponding "Bend Efficiencies" are listed in the following table:
MATERIAL CTE *10-6/K Young's modulus Gpa Heat capacity W/Kg/C Density Kg/M3 "Bend efficiency"
Gold 14.2 80 129 19300 456
PTFE 770 1.3 1024 2130 459
Silicon Nitride 3.3 337 712 3200 488
Osmium 2.6 581 130 22570 515
Tantalum-Tungsten alloy 6.48 186 140 16660 517
Silver 18.9 71 235 10500 544
Platinum 8.8 177 133 21500 545
Copper 16.5 124 385 8960 593
Molybdenum 4.8 323 251 10200 606
Aluminium 23.1 28.9 897 2700 657
Nickel 13.4 206 444 8900 699
Tungsten 4.5 408 132 19300 721
Ruthenium 5.05 394 247 12410 1067
Stainless Steel 20.2 215 500 7850 1106
Iridium 6.8 549 130 22650 1268
High Silicon Brass 31.5 130 376 8250 1320
"Chromel D" alloy 25.2 212 448 7940 1502
Titanium DiBoride 8.2 575 636 4450 1666
Boron Carbide 10.1 454 955 2520 1905
Utilising the above equation, it can be seen that a suitable materials is titanium diboride (TiB2) which has a high bend efficiency and is also regularly used in semiconductor fabrication techniques. Although this material has a High Young's modulus, the coefficient of thermal expansion is somewhat lower than other possible materials. Hence, in an embodiment, a fulcrum arrangement is utilised to substantially increase the travel of a material upon heating thereby more fully utilizing the effect of the High Young's modulus material.

[0079] Turning initially to Fig. 82 and Fig. 83, there is illustrated a single nozzle 3201 of an inkjet device constructed in accordance with an embodiment. Fig. 313 illustrates a side perspective view of a single nozzle and Fig. 83 is an exploded perspective of the arrangement of Fig. 82. The single nozzle 3201 can be constructed as part of an array of nozzles formed on a silicon wafer 3202 utilising standard MEM processing techniques. On top of the silicon wafer 3202 is formed a CMOS layer 3203 which can include multiple metal layers formed within glass layers in accordance with the normal CMOS methodologies.

[0080] The wafer 3202 can contain a number of etched chambers eg. 3233 the chambers being etched through the wafer utilising a deep trench silicon etcher.

[0081] A suitable plasma etching process can include a deep anisotropic trench etching system such as that available from SDS Systems Limited (See "Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).

[0082] An embodiment 3201 includes two arms 3204,3205 which operate in air and are constructed from a thin 0.3 micrometer layer of titanium diboride 3206 on top of a much thicker 5.8 micron layer of glass 3207. The two arms 3204,3205 are joined together and pivot around a point 3209 which is a thin membrane forming an enclosure which in turn forms part of the nozzle chamber 3210.

[0083] The arms 3204 and 3205 are affixed by posts 3211,3212 to lower aluminium conductive layers of 3214,3215 which can form part of the CMOS layer 3203. The outer surfaces of the nozzle chamber 3218 can be formed from glass or nitride and provides an enclosure for the filling with ink. The outer chamber 3218 includes a number of etchant holes e.g. 3219 which are provided for the rapid sacrificial etchant of internal cavities during construction. A nozzle rim 3220 is further provided around an ink ejection port 3221 for the ejection of ink.

[0084] In operation, the paddle surface 3224 is bent downwards as a result of release of the structure during fabrication. A current is passed through the titanium boride layer 3206 so as to cause heating of this layer along arms 3204 and 3205. The heating generally expands the T1B2 layer of arms 3204 and 3205 which have a high young's modulus. This expansion acts to bend the arms generally downwards, which are in turn being pivoted around the membrane 3209. The pivoting results in a rapid upward bending of the arm 3225 which in turn results in a rapid upward movement of the paddle surface 3224. The upward movement of the paddle surface 3224 causes the ejection of ink from the nozzle chamber 3221. The increase in pressure is insufficient to overcome the surface tension characteristics of the smaller etchant holes 3219 with the result being that ink is ejected from the nozzle chamber hole 3221.

[0085] As noted previously the thin titanium diboride strip 3206 has a sufficiently high young's modulus so as to cause the glass layer 3207 to be bent upon heating of the titanium diboride layer 3206. Hence, the operation of the inkjet device can be as illustrated in Fig. 84 to Fig. 86. In its quiescent state, the inkjet nozzle is as illustrated in Fig. 84, generally in the bent down position with the ink meniscus 3230 forming a slight bulge and the paddle being pivoted around the membrane wall 3209. The heating of the titanium diboride layers causes it to expand. Subsequently, it is bent by the glass layer 3207 so as to cause the pivoting of the paddle 3224 around the membrane wall 3209 as indicated in Fig. 85. This causes the rapid expansion of the meniscus 3230 resulting in the general ejection of ink from the nozzle chamber. Next, the current to the titanium diboride layer is turned off and the paddle 3224 returns to its quiescent state resulting in a general sucking back of ink via the meniscus 3230 which in turn results in the ejection of a drop 3231 on demand from the nozzle chamber.

[0086] Although many different alternatives are possible, the arrangement of an embodiment can be constructed utilising the following processing steps:

1. The starting wafer is a CMOS processed wafer with suitable electrical circuitry for the operation of an array of print head nozzles and includes aluminium layer portions 3214,3215.

2. First, the CMOS wafer layer 3203 can be etched 3234 down to the silicon wafer layer 3202 in the area of the ink supply channel 3234.

3. Next, a sacrificial layer can be constructed on top of the CMOS layer and planarised. A suitable sacrificial material can be aluminium. This layer is planarised, masked and etched to form cavities for the glass layer 3213. Subsequently, a glass layer is deposited on top of the sacrificial aluminium layer and etched so as to form the glass layer 3207 and lower layer 3213.

4. A titanium diboride layer 3206 is then deposited followed by the deposition of a second sacrificial material layer, the material again can be aluminium, the layer subsequently being planarised.

5. The sacrificial etchant layer is then etched to form cavities for the deposition of the side walls eg. 3209 of the top of the nozzle chamber.

6. A glass layer is then deposited on top of the sacrificial layer and etched so as to form the roof of the chamber layer 3209.

7. The rim 3220 and nozzle hole 3221 and etchant holes e.g. 3219 can then be formed in the top glass layer utilising suitable etching processes.

8. The sacrificial aluminium layers are sacrificially etched away so as to release the MEMS structure.

9. The ink supply channels can be formed through the back etching of the silicon wafer utilising a deep anisotropic trench etching system such as that available from Silicon Technology Systems. The deep trench etching systems can also be simultaneously utilised to separate print heads of a wafer which can then be mounted on an ink supply system and tested for operational capabilities.



[0087] Turning finally to Fig. 86, there is illustrated a portion of a print head 3240 showing a multi-coloured series of inkjet nozzles suitably arranged to form a multi-coloured print head. The portion is shown, partially in section so as to illustrate the through wafer etching process

[0088] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 89. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 88 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 90.

3. Deposit 1 micron of sacrificial material (e.g. aluminum).

4. Etch the sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 91.

5. Deposit 3 microns of PECVD glass, and etch using Mask 3. This mask defines the actuator, the nozzle walls, and the actuator anchor points with the exception of the contact vias. The etch continues through to aluminum.

6. Deposit 0.5 microns of heater material, for example titanium nitride-(TiN) or titanium diboride (TiB2). This step is shown in Fig. 92.

7. Etch the heater material using Mask 4, which defines the actuator loop. This step is shown in Fig. 93.

8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

9. Deposit 8 microns of sacrificial material.

10. Etch the sacrificial material down to glass or heater material using Mask 5. This mask defines the nozzle chamber wall and actuator anchor points. This step is shown in Fig. 94.

11. Deposit 3 microns of PECVD glass. This step is shown in Fig. 95.

12. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 96.

13. Etch down to the sacrificial layer using Mask 7. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 97.

14. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 98.

15. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 99.

16. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

17. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

18. Hydrophobize the front surface of the print heads.

19. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 100.


A Description of IJ33 T



[0089] In an embodiment, there is provided an ink jet printing system wherein each nozzle has a nozzle chamber having a slotted side wall through which is formed an actuator mechanism attached to a vane within the nozzle chamber such that the actuator can be activated to move the vane within the nozzle chamber to thereby cause ejection of ink from the nozzle chamber.

[0090] Turning now to the figures, there is illustrated in Fig. 101 an example of an ink jet nozzle arrangement 3301 as constructed in accordance with an embodiment. The nozzle arrangement includes a nozzle chamber 3302 normally filled with ink and an actuator mechanism 3303 for actuating a vane 3304 for the ejection of ink from the nozzle chamber 3302 via an ink ejection port 3305.

[0091] Fig. 101 is a perspective view of the ink jet nozzle arrangement of an embodiment in its idle or quiescent in position. Fig. 102 illustrates a perspective view after actuation of the actuator 3303.

[0092] The actuator 3303 includes two arms 3306, 3307. The two arms can be formed from titanium di-boride (TiB2) which has a high Young's modulus and therefore provides a large degree of bending strength. A current is passed along the arms 3306, 3307 with the arm 3307 having a substantially thicker portion along most of its length. The arm 3307 is stiff but for in the area of thinned portion 3308 and hence the bending moment is concentrated in the area 3308. The thinned arm 3306 is of a thinner form and is heated by means of resistive heating of a current passing through the arms 3306, 3307. The arms 3306, 3307 are interconnected to electrical circuitry via connections 3310, 3311.

[0093] Upon heating of the arm 3306, the arm 3306 is expanded with the bending of the arm 3307 being concentrated in the area 3308. This results in movement of the end of the actuator mechanism 3303 which proceeds through a slot in the wall nozzle chamber 3302. The bending further causes movement of vane 3304 so as to increase the pressure of the ink within the nozzle chamber and thereby cause its subsequent ejection from ink ejection nozzle 3305. The nozzle chamber 3302 is refilled via an ink channel 3313 (Fig. 103) formed in the wafer substrate 3314. After movement of the vane 3304, so as to cause the ejection of ink, the current to arm 3306 is turned off which results in a corresponding back movement of the end vane 3304. The ink within nozzle chamber 3302 is then replenished by means of wafer ink supply channel 3313 which is attached to an ink supply formed on the back of wafer 3314. The refill can be by means of a surface tension reduction effects of the ink within nozzle chamber 3302 across ink ejection port 3305.

[0094] Fig. 103 illustrates an exploded perspective view of the components of the ink jet nozzle arrangement. Referring now specifically to Fig. 103, an embodiment can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.

[0095] For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

[0096] The nozzles can preferably be constructed by constructing a large array of nozzles on a single silicon wafer at a time. The array of nozzles can be divided into multiple print heads, with each print head itself having nozzles grouped into multiple colours to provide for full colour image reproduction. The arrangement can be constructed via the utilisation of a standard silicon wafer substrate 3314 upon which is deposited an electrical circuitry layer 3316 which can comprise a standard CMOS circuitry layer. The CMOS layer can include an etched portion defining pit 3317. On top of the CMOS layer is initially deposited a protective layer (not shown) which comprise silicon nitride or the like. On top of this layer is deposited a sacrificial material which is initially suitably etched so as to form cavities for the portion of the thermal actuator 3303 and bottom portion of the vane 3304, in addition to the bottom rim of nozzle chamber 3302. These cavities can then be filled with titanium di-boride. Next, a similar process is used to form the glass portions of the actuator. Next, a further layer of sacrificial material is deposited and suitably etched so as to form the rest of the vane 3304 in addition to a portion of the nozzle chamber walls to the same height of vane 3304.

[0097] Subsequently, a further sacrificial layer is deposited and etched in a suitable manner so as to form the rest of the nozzle chamber 3302. The top surface of the nozzle chamber is further etched so as to form the nozzle rim rounding the ejection port 3305. Subsequently, the sacrificial material is etched away so as to release the construction of an embodiment. It will be readily evident to those skilled in the art that other MEMS processing steps could be utilized.

[0098] Preferably, the thermal actuator and vane portions 3303 and 3304 in addition to the nozzle chamber 3305 are constructed from titanium di-boride. The utilisation of titanium di-boride is standard in the construction of semiconductor systems and, in addition, its material properties, including a high Young's modulus, is utilised to advantage in the construction of the thermal actuator 3303.

[0099] Further, preferably the actuator 3303 is covered with a hydrophobic material, such as Teflon, so as to prevent any leaking of the liquid out of the slot 3319.

[0100] Further, as a final processing step, the ink channel can be etched through the wafer utilising a high anisotropic silicon wafer etchers. This can be done as an anisotropic crystallographic silicon etch, or an anisotropic dry etch. A dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over a wet etch. The wet etch is suitable for small volume production where a suitable plasma etch system is not available. Alternatively, but undesirably, ink access can be around the sides of the print head chips. If ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35 micron apart, increasing the number of chips on a wafer.

[0101] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 105. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 104 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 106.

3. Deposit 1 micron of sacrificial material (e.g. aluminum)

4. Etch the sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 107.

5. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2).

6. Etch the heater material using Mask 3, which defines the actuator loop and the lowest layer of the nozzle wall. This step is shown in Fig. 108.

7. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

8. Deposit 1 micron of titanium nitride.

9. Etch the titanium nitride using Mask 4, which defines the nozzle chamber wall, with the exception of the nozzle chamber actuator slot, and the paddle. This step is shown in Fig. 109.

10. Deposit 8 microns of sacrificial material.

11. Etch the sacrificial material down to titanium nitride using Mask 5. This mask defines the nozzle chamber wall and the paddle. This step is shown in Fig. 110.

12. Deposit a 0.5 micron conformal layer of titanium nitride and planarize down to the sacrificial layer using CMP.

13. Deposit 1 micron of sacrificial material.

14. Etch the sacrificial material down to titanium nitride using Mask 6. This mask defines the nozzle chamber wall. This step is shown in Fig. 111.

15. Deposit 1 microns of titanium nitride.

16. Etch to a depth of (approx.) 0.5 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 112.

17. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 113.

18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 114.

19. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 115.

20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

22. Hydrophobize the front surface of the print heads.

23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 116.


A Description of IJ34 T



[0102] In an embodiment, there is provided an inkjet printer having a series of ink ejection mechanisms wherein each ink ejection mechanism includes a paddle actuated by a coil actuator, the coil spring actuator having a unique cross section so as to provide for efficient actuation as a coiled thermal actuator.

[0103] Turning initially to Fig. 117, there is illustrated a single ink ejection mechanism 3401 constructed in accordance with the principles of an embodiment. The ink ejection mechanism 3401 includes a chamber 3402 having a rim 3403. The chamber 3402 is normally filled with ink which bulges out around a surface having a border along the edge of rim 3403, the ink being retained within the chamber 3402 by means of surface tension around the rim 3403. Outside of the chamber 3402 is located a thermal actuator device 3405. The thermal actuator device 3405 is interconnected via a strut 3406 through a hole 3407 to a paddle device within the chamber 3402. The strut 3407 and hole 3406 is treated so as to be hydrophobic. Further, the hole 3407 is provided in a thin elongated form so that surface tension characteristics also assist in stopping any ink from flowing out of the hole 3407.

[0104] The thermal actuator 3405 comprises a first arm portion 3409 which can be constructed from glass or other suitable material. A second arm portion 3410 can be constructed from material such as titanium diboride which has a large Young's modulus or bending strength and hence, when a current is passed through the titanium diboride layer 3410, it expands with a predetermined coefficient of thermal expansion. The expansion of the thin strip 3410 has a high Young's modulus or bending strength and therefore the thin strip 3410 is able to bend the much thicker strip 3409 which has a substantially lower Young's modulus.

[0105] Turning to Fig. 118, there is illustrated a cross-section of the arm through the line II-II of Fig. 117 illustrating the structure of the actuator 3405. As known previously, the heater arm 3405 includes two titanium diboride portions 3410a, 3410b forming a circuit around the coil in addition to the glass portion 3409 which also provides for electrical isolation of the two arms, the arms being conductively joined at the strut end.

[0106] Turning now to Fig. 119 to Fig. 121, there will now be explaining the operation of the ink ejection mechanism 3401 for the ejection of ink. Initially, before the paddle 3408 has started moving, the situation is as illustrated in Fig. 119 with the nozzle chamber 3402 being filled with ink and having a slight bulge in meniscus 3412. Upon actuation of the actuator mechanism, the paddle 3408 begins to move towards the nozzle rim 3403 resulting in a substantial increase in pressure in the area around the nozzle rim 3403. This in turn results in the situation as illustrated in Fig. 120 wherein the meniscus begins to significantly bulge as a result of the increases in pressure. Subsequently, the actuator is deactivated resulting in a general urge for the paddle 3408 to return to its rest position. This results in the ink being sucked back into the chamber 3402 which in turn results in the meniscus necking and breaking off into a meniscus 3412 and ink drop 3414, the drop 3414 proceeding to a paper or film medium (not shown) for marking. The meniscus 3412 has generally a concave shape and surface tension characteristics result in chamber refilling by means of in flow 3413 from an ink supply channel etched through the wafer. The refill being as a consequence of surface tension forces on the meniscus 3412. Eventually the meniscus returns to its quiescent state as illustrated in Fig. 119.

[0107] Turning now to Fig. 122, there is illustrated an exploded perspective view of a single ink ejection mechanism 3401 illustrating the various material layers. The ink ejection mechanism 3401 can be formed as part of a large array of mechanisms forming a print head with multiple print heads being simultaneously formed on a silicon wafer. The wafer 3407 is initially processed so as to incorporate a standard CMOS circuitry layer 3418 which provides for the electrical interconnect for the control the conductive portions of the actuator. The CMOS layer 3418 can be completed with a silicon nitride passivation layer so as to protect it from subsequent processing steps in addition to ink flows through channel 3420. The subsequent layers eg. 3409, 3410, 3412 and 3402 can be deposited utilising standard micro-electro mechanical systems (MEMS) construction techniques including the deposit of sacrificial aluminium layers in addition to the deposit of the layers 3410 constructed from titanium diboride the layer 3409 constructed from glass material and the nozzle chamber proper 3402 again constructed from titanium diboride. Each of these layers can be built up in a sacrificial material such as aluminium which is subsequently etched away. Further, an ink supply channel eg. 3421 can be etched through the wafer 3417. The etching can be by means of an isotropic crystallagraphic silicon etch or an isotropic dry etch. A dry etch system capable of high aspect ratio silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended.

[0108] Subsequent to construction of the nozzle arrangement 3401, it can be attached to a ink supply apparatus for supplying ink to the reverse surface of the wafer 3417 so that ink can flow into chamber 3402.

[0109] The external surface of nozzle chamber 3402 including rim 3403 in addition to the area surrounding slot 3407 can then be hydrophobically treated so as to reduce the possibility of any ink exiting slot 3407.

[0110] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 124. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 123 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print heads chip. This step is shown in Fig. 125.

3. Deposit 1 micron of sacrificial material (e.g. aluminum)

4. Etch the sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 126.

5. Deposit 1 micron of glass.

6. Etch the glass using Mask 3, which defines the lower layer of the actuator loop.

7. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. Steps 5 to 7 form a 'damascene' process. This step is shown in Fig. 127.

8. Deposit 0.1 micron of silicon nitride. 9. Deposit 1 micron of glass.

10. Etch the glass using Mask 4, which defines the upper layer of the actuator loop.

11. Etch the silicon nitride using Mask 5, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop.

12. Deposit 1 micron of the same heater material as in step 7 heater material. Planarize using CMP. Steps 8 to 12 form a 'dual damascene' process. This step is shown in Fig. 128.

13. Etch the glass down to the sacrificial layer using Mask 6, which defines the actuator and the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 129.

14. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

15. Deposit 3 microns of sacrificial material.

16. Etch the sacrificial layer down to glass using Mask 7, which defines the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 130.

17. Deposit 1 micron of PECVD glass and planarize down to the sacrificial layer using CMP. This step is shown in Fig. 131.

18. Deposit 5 microns of sacrificial material.

19. Etch the sacrificial material down to glass using Mask 8. This mask defines the nozzle chamber wall and the paddle. This step is shown in Fig. 132.

20. Deposit 3 microns of PECVD glass and planarize down to the sacrificial layer using CMP.

21. Deposit 1 micron of sacrificial material.

22. Etch the sacrificial material down to glass using Mask 9. This mask defines the nozzle chamber wall. This step is shown in Fig. 133.

23. Deposit 3 microns of PECVD glass.

24. Etch to a depth of (approx.) 1 micron using Mask 10. This mask defines the nozzle rim. This step is shown in Fig. 134.

25. Etch down to the sacrificial layer using Mask 11. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 135.

26. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 12. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 136.

27. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 137.

28. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

29. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

30. Hydrophobize the front surface of the print heads.

31. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 138.


A Description of IJ35 T



[0111] In an embodiment, there is provided an inkjet printing arrangement arranged on a silicon wafer. The ink is supplied to a first surface of the silicon wafer by means of channels etched through the back of the wafer to an ink ejection chamber located along the surface of the wafer. The ink ejection chamber is filled with ink and includes a paddle vane attached to an external actuator which is activated so as to compress a portion of the ink within the chamber against a sidewall resulting in the corresponding ejection of the ink from the chamber.

[0112] Turning now to the figures, Fig. 139 illustrates the ink ejection arrangement 3501 in the quiescent position with Fig. 140 illustrating the preferred arrangement 3501 after activation of the thermal actuator 3507 and Fig. 141 illustrates an exploded perspective of the ink ejection arrangement 3501.

[0113] Turning initially to Fig. 139, as noted previously, ink is supplied to an ink ejection chamber 3502 from an ink supply channel 3503 which is etched through the wafer 3504 and supplies ink to the ejection chamber 3502. Located between the supply channel 3503 and the ejection chamber 3502 is a paddle 3506 which is attached to an actuated device 3507, which can comprise a thermal actuator. When the actuator 3507 is actuated, the paddle 3506 is caused to move as illustrated in Fig. 140 thereby compressing ink within the ink ejection chamber 3502 resulting in its corresponding ejection from the chamber 3502. The actuator 3507 comprises a coiled arm which is in turn made up of three sub-arm components.

[0114] Turning to Fig. 142, there is illustrated a section through the line IV-IV of Fig. 139 illustrating the structure of the arm which includes an upper conductive arm 3510 and a lower conductive arm 3511. The two arms can be made from conductive titanium diboride which has a high Young's modulus in addition to a suitably high coefficient of thermal expansion. The two arms 3510, 3511 are incased in a silicon nitride portion of the arm 3512. The two arms 3510, 3511 are conductively interconnected at one end 3513 (Fig. 139) of the actuator 3507 and, at the other end they are electrically interconnected 3514, 3515 to control circuitry to a lower CMOS layer 3517 which includes the drive circuitry for activating the actuator 3507.

[0115] The conductive heating of the arms 3510, 3511 result in a general expansion of these two arms 3510, 3511. The expansion works against the nitride portion 3512 of the arm resulting in an "uncoiling" of the actuator 3507 which in turn results in corresponding movement of the paddle 3506 resulting in the ejection of ink from the nozzle chamber 3502. The nozzle chamber 3502 can include a rim 3518 which, for convenience, can also be constructed also from titanium diboride. The rim includes an arc profile eg. 3519 which is designed to follow the path swept out by paddle 3506 as it expands as a result of actuation of the actuator 3507. Similarly, the walls of ink ejection chamber 3502 are similarly profiled. Upon ejection of a drop, the paddle 3506 returns to its quiescent position.

[0116] Turning now to Fig. 143 to Fig. 162, there will now be explained the manufacturing processing steps involved in the construction of an embodiment.

1. Starting initially with Fig. 143, the starting arrangement of an embodiment is a silicon wafer for having a CMOS layer 3517 which can comprise the normal CMOS processes including multi-level metal layers etc. and which provide the electrical circuitry for the operation of an embodiment which can be formed as part of a multiple series or array of nozzles at a time on a single wafer.

2. The next step in the construction of an embodiment is to form an etched pit 3521 as illustrated in Fig. 144. The etched pit 3521 can be formed utilising a highly anisotropic trench etcher such as that available from Silicon Technology Systems of the United Kingdom. The pit 3521 is preferably etched to have steep sidewalls. A dry etch system capable of high aspect ratio deep silicon trench etching is that known as the Advance Silicon Etch System available from Surface Technology Systems of the United Kingdom.

3. Next, as is illustrated in Fig. 145, a 1µm layer of aluminium is deposited 3522 over the surface of the wafer.

4. Next, as illustrated in Fig. 146 a five micron glass layer 3523 is then deposited on top of the aluminium layer 3522.

5. Next, the glass layer is chemically mechanically planarised so as to provide a 1µm thick layer of glass over the aluminium layer as illustrated in Fig. 147.

6. Next, a triple masked etch process is utilised to etch the deposited layer as illustrated in Fig. 148. The etch includes a 1.5µm etch of the glass layer. The etch provides for the etching of via 3525, a trench for rim portions 3526, 3527 and a paddle portion 3528.

7. Next, as illustrated in Fig. 149, a 0.9µm layer of titanium diboride is deposited.

8. The titanium diboride layer is subsequently masked and etched so as to leave those portions as illustrated in Fig. 150.

9. Subsequently, a 1µm layer of silicon dioxide (SiO2) is deposited and chemical-mechanically planarised as illustrated in Fig. 151 to the level of the titanium diboride.

10. Next, as illustrated in Fig. 152 the silicon dioxide layer is etched to form the spiral pattern where a nitride layer will later be deposited. The spiral pattern includes etched portions 3530-3532.

11. Next, as illustrated in Fig. 153, a 0.2µm layer of the silicon nitride is deposited.

12. The silicon nitride layer is then etched in areas 3534 - 3536 so as to provide for electrical interconnect in areas 3534, 3535, in addition to a mechanical interconnect, as will become more apparent hereinafter, in the area 3536.

13. Turning next to Fig. 155, a 0.9µm layer of titanium diboride is then deposited.

14. The titanium diboride is then etched so as to leave the via structure 3514 the spiral structure eg. 3510 and the paddle arm 3506.

15. Next, a 1µm layer of silicon nitride is then deposited as illustrated in Fig. 157.

16. The nitride layer is then chemically and mechanically planarized to the level of the titanium diboride layer as shown in Fig. 158.

17. Next, the silicon nitride layer is etched so as to form the silicon nitride portions of the spiral arm 3542, 3543 with a thin portion of silicon nitride also remaining under the paddle arm as shown in Fig. 159.

18. Next, as illustrated in Fig. 160 an ink supply channel can be etched 3545 from the back of the wafer. Again, a STS deep silicon trench etcher can be utilised.

19. Turning now to Fig. 161, the next step is a wet etch of all exposed glass (SiO2) surfaces of the wafer which results in a substantial release of the paddle structure as illustrated in Fig. 161.

20. Finally, it is illustrated in Fig. 162 the exposed aluminium surfaces are then wet etched away resulting in a release of the paddle structure which springs back to its quiescent or return position ready for operation.



[0117] The wafer can then be separated into printhead units and interconnected to an ink supply along the back surface of the wafer for the supply of ink to the nozzle arrangement.

[0118] In Fig. 163, there is illustrated a portion 3549 of an array of nozzles which can include a three colour output including a first colour series 3550, second colour series 3551 and third colour series 3552. Each colour series is further divided into two rows of ink ejection units with each unit providing for the ink ejection of drops corresponding to a single pixel of a line. Hence, a page width array of nozzles can be formed including appropriate bond pads eg. 3555 for providing for an electrical interconnect. The page width printhead can be formed by silicon wafer with multiple print heads being formed simultaneously utilising the aforementioned steps. Subsequently, the print heads can be separated and joined on an ink supply mechanism for supplying ink via the back of the wafer to each ink ejection arrangement, the supply being suitably arranged for providing the separate colours.

[0119] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 165. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 164 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 166.

3. Etch silicon to a depth of 10 microns using the etched oxide as a mask. This step is shown in Fig. 167.

4. Deposit 1 micron of sacrificial material (e.g. aluminum). This step is shown in Fig. 168.

5. Deposit 10 microns of a second sacrificial material (e.g. polyimide). This fills the etched silicon hole.

6. Planarize using CMP to the level of the first sacrificial material. This step is shown in Fig. 169.

7. Etch the first sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 170.

8. Deposit 1 micron of glass.

9. Etch the glass and second sacrificial layer using Mask 3. This mask defines the lower layer of the actuator loop, the nozzle chamber wall, and the lower section of the paddle.

10. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. Steps 8 to 10 form a 'damascene' process. This step is shown in Fig. 171.

11. Deposit 0.1 micron of silicon nitride.

12. Deposit 1 micron of glass.

13. Etch the glass using Mask 4, which defines the upper layer of the actuator loop, the arm to the paddle, and the upper section of the paddle.

14. Etch the silicon nitride using Mask 5, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop, as well as the arm to the paddle, and the upper section of the paddle.

15. Deposit 1 micron of the same heater material as in step 10. Planarize using CMP. Steps 11 to 15 form a'dual damascene' process. This step is shown in Fig. 172.

16. Etch the glass and nitride down to the sacrificial layer using Mask 6, which defines the actuator. This step is shown in Fig. 173.

17. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 174.

19. Etch both sacrificial materials. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 175.

20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

22. Fill the print head with water. Hydrophobize the exposed portions or the print head by exposing the print head to a vapor of a perfluorinated alkyl trichlorosilane. Drain the water and dry the print head.

23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 176.


A Description of IJ36 T



[0120] In an embodiment, there is provided an inkjet printhead having an array of nozzles wherein the nozzles are grouped in pairs and each pair is provided with a single actuator which is actuated so as to move a paddle type mechanism to force the ejection of ink out of one or other of the nozzle pairs. The paired nozzles eject ink from a single nozzle chamber which is resupplied by means of an ink supply channel. Further, the actuator of an embodiment has unique characteristics so as to simplify the actuation process.

[0121] Turning initially to Fig. 177 to Fig. 181, there will now be explained the principles of operation of an embodiment. In an embodiment, a single nozzle chamber 3601 is utilised to supply ink two ink ejection nozzles 3602, 3603. Ink is resupplied to the nozzle chamber 3601 via means of an ink supply channel 3605. In its quiescent position, to ink menisci 3606, 3607 are formed around the ink ejection holes 3602, 3603. The arrangement of Fig. 177 being substantially axially symmetric around a central paddle 3609 which is attached to an actuator mechanism.

[0122] When it is desired to eject ink out of one of the nozzles, say nozzle 3603, the paddle 3609 is actuated so that it begins to move as indicated in Fig. 178. The movement of paddle 3609 in the direction 3610 results in a general compression of the ink on the right hand side of the paddle 3609. The compression of the ink results in the meniscus 3607 growing as the ink is forced out of the nozzles 3603. Further, the meniscus 3606 undergoes an inversion as the ink is sucked back on the left hand side of the actuator 3610 with additional ink 3612 being sucked in from ink supply channel 3605. The paddle actuator 3609 eventually comes to rest and begins to return as illustrated in Fig. 179. The ink 3613 within meniscus 3607 has substantial forward momentum and continues away from the nozzle chamber whilst the paddle 3609 causes ink to be sucked back into the nozzle chamber. Further, the surface tension on the meniscus 3606 results in further in flow of the ink via the ink supply channel 3605. The resolution .of the forces at work in the resultant flows results in a general necking and subsequent breaking of the meniscus 3607 as illustrated in Fig. 180 wherein a drop 3614 is formed which continues onto the media or the like. The paddle 3609 continues to return to its quiescent position.

[0123] Next, as illustrated in Fig. 181, the paddle 3609 returns to its quiescent position and the nozzle chamber refills by means of surface tension effects acting on meniscuses 3606, 3607 with the arrangement of returning to that showing in Fig. 177. When required, the actuator 3609 can be activated to eject ink out of the nozzle 3602 in a symmetrical manner to that described with reference to Fig. 177 to Fig. 181. Hence, a single actuator 3609 is activated to provide for ejection out of multiple nozzles. The dual nozzle arrangement has a number of advantages including in that movement of actuator 3609 does not result in a significant vacuum forming on the back surface of the actuator 3609 as a result of its rapid movement. Rather, meniscus 3606 acts to ease the vacuum and further acts as a "pump" for the pumping of ink into the nozzle chamber. Further, the nozzle chamber is provided with a lip 3615 (Fig. 178) which assists in equalising the increase in pressure around the ink ejection holes 3603 which allows for the meniscus 3607 to grow in an actually symmetric manner thereby allowing for straight break off of the drop 3614.

[0124] Turning now to Fig. 182 and Fig. 183, there is illustrated a suitable nozzle arrangement with Fig. 182 showing a single side perspective view and Fig. 183 showing a view, partly in section illustrating the nozzle chamber. The actuator 3620 includes a pivot arm attached at the post 3621. The pivot arm includes an internal core portion 3622 which can be constructed from glass. On each side 3623, 3624 of the internal portion 3622 is two separately control heater arms which can be constructed from an alloy of copper and nickel (45% copper and 55% nickel). The utilisation of the glass core is advantageous in that it has a low coefficient thermal expansion and coefficient of thermal conductivity. Hence, any energy utilised in the heaters 3623, 3624 is substantially maintained in the heater structure and utilised to expand the heater structure and opposed to an expansion of the glass core 3622. Structure or material chosen to form part of the heater structure preferably has a high "bend efficiency". One form of definition of bend efficiency can be the youngs modulus times the coefficient of thermal expansion divided by the density and by the specific heat capacity.

[0125] The copper nickel alloy in addition to being conductive has a high coefficient of thermal expansion, a low specific heat and density in addition to a high young's modulus. It is therefore a highly suitable material for construction of the heater element although other materials would also be suitable.

[0126] Each of the heater elements can comprise a conductive out and return trace with the traces being insulated from one and other along the length of the trace and conductively joined together at the far end of the trace. The current supply for the heater can come from a lower electrical layer via the pivot anchor 3621. At one end of the actuator 3620, there is provided a bifurcated portion 3630 which has attached at one end thereof to leaf portions 3631, 3632.

[0127] To operate the actuator, one of the arms 3623, 3624 eg. 3623 is heated in air by passing current through it. The heating of the arm results in a general expansion of the arm. The expansion of the arm results in a general bending of the arm 3620. The bending of the arm 3620 further results in leaf portion 3632 pulling on the paddle portion 3609. The paddle 3609 is pivoted around a fulcrum point by means of attachment, to leaf portions 3638, 3639 which are generally thin to allow for minor flexing. The pivoting of the arm 3609 causes ejection of ink from the nozzle hole 3638. The heater is deactivated resulting in a return of the actuator 3620 to its quiescent position and its corresponding return of the paddle 3609 also to is quiescent position. Subsequently, to eject ink out of the other nozzle hole 3641, the heater 3624 can be activated with the paddle operating in a substantially symmetric manner.

[0128] It can therefore be seen that the actuator can be utilised to move the paddle 3609 on demand so as to eject drops out of the ink ejection hole eg. 3638 with the ink refilling via an ink supply channel 3644 located under the paddle 3609.

[0129] The nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.

[0130] For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

[0131] Preferably, a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.

[0132] Turning now to Fig. 184 - Fig. 203 there will now be explained one form of fabrication of an embodiment. An embodiment can start as illustrated in Fig. 184 with a CMOS processed silicon wafer 3650 which can include a standard CMOS layer 3651 including of the relevant electrical circuitry etc. The processing steps can then be as follows:

1. As illustrated in Fig. 185, a deep etch of the nozzle chamber 3651 is performed to a depth of 25micron.

2. As illustrated in Fig. 186, a 27micron layer of sacrificial material 3652 such as aluminum is deposited.

3. As illustrated in Fig. 187, the sacrificial material is etched to a depth of 26 micron using a glass stop so as to form cavities using a paddle and nozzle mask.

4. As illustrated in Fig. 188, a 2 micron layer of low stress glass 3653 is deposited.

5. As illustrated in Fig. 189, the glass is etched to the aluminum layer utilizing a first heater via mask.

6. As illustrated in Fig. 190, a 2 micron layer of 60% copper and 40% nickel is deposited 3655 and planarized (Fig. 191) using chemical mechanical planarization (CMP).

7. As illustrated in Fig. 192, a 0.1 micron layer of silicon nitride is deposited 3656 and etched using a heater insulation mask.

8. As illustrated in Fig. 193, a 2 micron layer of low stress glass 3657 is deposited and etched using a second heater mask.

9. As illustrated in Fig. 194, a 2 micron layer of 60% copper and 40% nickel is deposited 3655 and planarized (Fig. 195) using chemical mechanical planarization.

10. As illustrated in Fig. 196, a 1 micron layer of low stress glass 3660 is deposited and etched (Fig. 197) using a nozzle wall mask.

11. As illustrated in Fig. 198, the glass is etched down to the sacrificial layer using an actuator paddle wall mask.

12. As illustrated in Fig. 199, a 5 micron layer of sacrificial material 3662 is deposited and planarized using CMP.

13. As illustrated in Fig. 200, a 3 micron layer of low stress glass 3663 is deposited and etched using a nozzle rim mask.

14. As illustrated in Fig. 201, the glass is etched down to the sacrificial layer using nozzle mask.

15. As illustrated in Fig. 202, the wafer can be etched from the back using a deep silicon trench etcher such as the Silicon Technology Systems deep trench etcher.

16. Finally, as illustrated in Fig. 203, the sacrificial layers are etched away releasing the ink jet structure. Subsequently, the print head can be washed, mounted on an ink chamber, relevant electrical interconnections TAB bonded and the print head tested.



[0133] Turning now to Fig. 204, there is illustrated a portion 3680 of a full colour printhead which is divided into three series of nozzles 3671, 3672 and 3673. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows e.g. 3676, 3677 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.

[0134] As illustrated in Fig. 204 the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles. Further, the block portion (3621 of Fig. 182) is formed in the wall of an adjacent series with the block portion of the row 3673 being formed in a separate guide rail 3680 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3680 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3681, 3682 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.

[0135] The principles of an embodiment can obviously be readily extended to other structures. For example, a fulcrum arrangement could be constructed which includes two arms which are pivoted around a thinned wall by means of their attachment to a cross bar. Each arm could be attached to the central cross bar by means of similarly leafed portions to that shown in Fig. 182 and Fig. 183. The distance between a first arm and the thinned wall can be L units whereas the distance between the second arm and wall can be NL units. Hence, when a translational movement is applied to the second arm for a distance ofN x X units the first arm undergoes a corresponding movement of X units. The leafed portions allow for flexible movement of the arms whilst providing for full pulling strength when required.

[0136] It would be evident to those skilled in the art that the present invention can further be utilised in either mechanical arrangements requiring the application forces to enduce movement in a structure.

[0137] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 206. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 205 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 207.

3. Etch exposed silicon to a depth of 20 microns. This step is shown in Fig. 208.

4. Deposit a 1 micron conformal layer of a first sacrificial material.

5. Deposit 20 microns of a second sacrificial material, and planarize down to the first sacrificial layer using CMP. This step is shown in Fig. 209.

6. Etch the first sacrificial layer using Mask 2, defining the nozzle chamber wall, the paddle, and the actuator anchor point. This step is shown in Fig. 210.

7. Etch the second sacrificial layer down to the first sacrificial layer using Mask 3. This mask defines the paddle. This step is shown in Fig. 211.

8. Deposit a 1 micron conformal layer of PECVD glass.

9. Etch the glass using Mask 4, which defines the lower layer of the actuator loop.

10. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. This step is shown in Fig. 212.

11. Deposit 0.1 micron of silicon nitride. 12. Deposit 1 micron of PECVD glass.

13. Etch the glass using Mask 5, which defines the upper layer of the actuator loop.

14. Etch the silicon nitride using Mask 6, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop.

15. Deposit 1 micron of the same heater material previously deposited. Planarize using CMP. This step is shown in Fig. 213.

16. Deposit 1 micron of PECVD glass.

17. Etch the glass down to the sacrificial layer using Mask 6. This mask defines the actuator and the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 214.

18. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

19. Deposit 4 microns of sacrificial material and planarize down to glass using CMP.

20. Deposit 3 microns of PECVD glass. This step is shown in Fig. 215.

21. Etch to a depth of (approx.) 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 216.

22. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 217.

23. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 218.

24. Etch both types of sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 219.

25. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

26. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

27. Hydrophobize the front surface of the print heads.

28. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 220.


A Description of IJ37 T



[0138] In an embodiment, an inkjet printing system is provided for the projection of ink from a series of nozzles. In an embodiment a single paddle is located within a nozzle chamber and attached to an actuator device. When the nozzle is actuated in a first direction, ink is ejected through a first nozzle aperture and when the actuator is activated in a second direction causing the paddle to move in a second direction, ink is ejected out of a second nozzle. Turning initially to Fig. 221 to Fig. 225, there will now be illustrated in a schematic form, the operational principles of an embodiment.

[0139] Turning initially to Fig. 221, there is shown a nozzle arrangement 3701 of an embodiment when in its quiescent state. In the quiescent state, ink fills a first portion 3702 of the nozzle chamber and a second portion 3703 of the nozzle chamber. The ink fills the nozzle chambers from an ink supply channel 3705 to the point that a meniscus 3706, 3707 is formed around corresponding nozzle holes 3708, 3709. A paddle 3710 is provided within the nozzle chamber 3702 with the paddle 3710 being interconnected to a actuator device 3712 which can comprise a thermal actuator which can be actuated so as to cause the actuator 3712 to bend, as will be become more apparent hereinafter.

[0140] In order to eject ink from the first nozzle hole 3709, the actuator 3712, which can comprise a thermal actuator, is activated so as to bend as illustrated in Fig. 222. The bending of actuator 3712 causes the paddle 3710 to rapidly move upwards which causes a substantial increase in the pressure of the fluid, such as ink, within nozzle chamber 3702 and adjacent to the meniscus 3707. This results in a general rapid expansion of the meniscus 3707 as ink slows through the nozzle hole 3709 with result of the increasing pressure. The rapid movement of paddle 3710 causes a reduction in pressure along the back surface of the paddle 3710. This results in general flows as indicated 3717, 3718 from the second nozzle chamber and the ink supply channel. Next, while the meniscus 3707 is extended, the actuator 3712 is deactivated resulting in the return of the paddle 3710 to its quiescent position as indicated in Fig. 223. The return of the paddle 3710 operates against the forward momentum of the ink adjacent the meniscus 3707 which subsequently results in the breaking off of the meniscus 3707 so as to form the drop 3720 as illustrated in Fig. 223. The drop 3720 continues onto the print media. Further, surface tension effects on the ink meniscus 3707 and ink meniscus 3706 result in ink flows 3721 - 3723 which replenish the nozzle chambers. Eventually, the paddle 3710 returns to its quiescent position and the situation is again as illustrated in Fig. 221.

[0141] Subsequently, when it is desired to eject a drop via ink ejection hole 3708, the actuator 3712 is activated as illustrated in Fig. 234. The actuation 3712 causes the paddle 3710 to move rapidly down causing a substantial increase in pressure in the nozzle chamber 3703 which results in a rapid growth of the meniscus 3706 around the nozzle hole 3708. This rapid growth is accompanied by a general collapse in meniscus 3707 as the ink is sucked back into the chamber 3702. Further, ink flow also occurs into ink supply channel 3705 however, hopefully this ink flow is minimised. Subsequently, as indicated in Fig. 225, the actuator 3712 is deactivated resulting in the return of the paddle 3710 to is quiescent position. The return of the paddle 3710 results in a general lessening of pressure within the nozzle chamber 3703 as ink is sucked back into the area under the paddle 3710. The forward momentum of the ink surrounding the meniscus 3706 and the backward momentum of the other ink within nozzle chamber 3703 is resolved through the breaking off of an ink drop 3725 which proceeds towards the print media. Subsequently, the surface tension on the meniscus 3706 and 3707 results in a general ink inflow from nozzle chamber 3705 resulting, in the arrangement returning to the quiescent state as indicated in Fig. 221.

[0142] It can therefore be seen that the schematic illustration of Fig. 221 to Fig. 225 describes a system where a single planar paddle is actuated so as to eject ink from multiple nozzles.

[0143] Turning now to Fig. 226, there is illustrated a sectional view through one form of implementation of a single nozzle arrangement 3701. The nozzle arrangement 3701 can be constructed on a silicon wafer base 3728 through the construction of large arrays of nozzles at one time utilising standard micro electro-mechanical processing techniques. An array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.

[0144] For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

[0145] One form of construction will now be described with reference to Fig. 227 to Fig. 224. On top of the silicon wafer 3728 is first constructed a CMOS processing layer 3729 which can provide for the necessary interface circuitry for driving the thermal actuator and its interconnection with the outside world. The CMOS layer 3729 being suitably passivated so as to protect it from subsequent MEMS processing techniques. The walls eg. 3730 can be formed from glass (Si02) Preferably, the paddle 3710 includes a thinned portion 3732 for more efficient operation. Additionally, a sacrificial etchant hole 3733 is provided for allowing more effective etching of sacrificial etchants within the nozzle chamber 3702. The ink supply channel 3705 is generally provided for interconnecting an ink supply conduit 3734 which can be etched through the wafer 3728 by means of utilisation of a deep anisotropic trench etcher such as that available from Silicon Technology Systems of the United Kingdom.

[0146] The arrangement 3701 further includes a thermal actuator device eg. 3712 which includes two arms comprising an upper arm 3736 and a lower arm 3737 formed around a glass core 3738. Both upper and lower arm heaters 3736, 3737 can comprise a 0.4µm film of 60% copper and 40% nickel hereinafter known as (Cupronickel) alloy. Copper and nickel is used because it has a high bend efficiency and is also highly compatible with standard VLSI and MEMS processing techniques. The bend efficiency can be calculated as the square of the coefficient of the thermal expansion times the Young's modulus, divided by the density and divided by the heat capacity. This provides a measure of the amount of "bend energy" produced by a material per unit of thermal (and therefore electrical) energy supplied.

[0147] The core can be fabricated from glass which also has many suitable properties in acting as part of the thermal actuator. The actuator 3712 includes a thinned portion 3740 for providing an interconnect between the actuator and the paddle 3710. The thinned portion 3740 provides for non-destructive flexing of the actuator 3712. Hence, when it is desired to actuate the actuator 3712, say to cause it to bend downwards, a current is passed down through the top cupronickel layer causing it to be heated and expand. This in turn causes a general bending due to the thermocouple relationship between the layers 3736 and 3738. The bending down of the actuator 3736 also causes thinned portion 3740 to move downwards in addition to the portion 3741. Hence, the paddle 3710 is pivoted around the wall 3741 which can, if necessary, include slots for providing for efficient bending. Similarly, the heater coil 3737 can be operated so as to cause the actuator 3712 to bend up with the consequential movement upon the paddle 3710.

[0148] A pit 3739 is provided adjacent to the wall of the nozzle chamber to ensure that any ink outside of the nozzle chamber has minimal opportunity to "wick" along the surface of the printhead as, the wall 3741 can be provided with a series of slots to assist in the flexing of the fulcrum.

[0149] Turning now to Fig. 227 to Fig. 244, there will now be described one form of processing construction of an embodiment of Fig. 226. This can involve the following steps:

1. Initially, as illustrated in Fig. 227, starting with a fully processed CMOS wafer 3728 the CMOS layer 3729 is deep silicon etched so as to provide for the nozzle ink inlet 3705.

2. Next, as illustrated in Fig. 228, a 7 layer of a suitable sacrificial material (for example, aluminium), is deposited and etched with a nozzle wall mask in addition to the electrical interconnect mask.

3. Next, as illustrated in Fig. 229, a 7 layer of low stress glass is deposited 3743 and planarised utilising chemical planarization.

4. Next, as illustrated in Fig. 230, the sacrificial material is etched to a depth of 0.4 micron and the glass to at least a level of 0.4 micron utilising a first heater mask.

5. Next, as illustrated in Fig. 231, the glass layer is etched 3745, 3746 down to the aluminium portions of the CMOS layer 3704 providing for an electrical interconnect utilising a first heater via mask.

6. Next, as illustrated in Fig. 232, a 3 micron layer of 50% copper and 40% nickel alloy is deposited eg. 3748 and planarised utilising chemical mechanical planarization.

7. Next, as illustrated in Fig. 233, a 4 micron layer of low stress glass is deposited and etched 3749 to a depth of 0.5 micron utilising a mask for the second heater.

8. Next, as illustrated in Fig. 234, the deposited glass layer is etched 3750 down to the cupronickel utilising a second heater via mask.

9. Next, as illustrated in Fig. 235, a 3 micron layer of cupronickel is deposited 3751 and planarised utilising chemical mechanical planarization.

10. As illustrated in Fig. 236, next, a 7 micron layer low stress glass 3752 is deposited.

11. The glass is etched, as illustrated in Fig. 237 to a depth of 1 micron utilising a first paddle mask.

12. Next, as illustrated in Fig. 238, the glass is again etched to a depth of 3 micron utilising a second paddle mask with the first mask utilised in Fig. 237 etching away those areas not having any portion of the paddle and the second mask as illustrated in Fig. 238 etching away those areas having a thinned portion. Both the first and second mask of Fig. 237 and Fig. 238 can be a timed etch.

13. Next, as illustrated in Fig. 239, the glass is etched to a depth of 7 micron using a third paddle mask. The third paddle mask leaving the nozzle wall 3730, baffle 3711, thinned wall 3741 and end portion 3754 which fixes one end of the thermal actuator firmly to the substrate.

14. The next step, as illustrated in Fig. 234, is to deposit an 11 micron layer 3755 of sacrificial material such as aluminium and planarize the layer utilising chemical mechanical planarization.

15. As illustrated in Fig. 241, a 3 micron layer of glass is deposited and etched to a depth of 1 micron utilising a nozzle rim mask.

16. Next, as illustrated in Fig. 242, the glass is etched down to the sacrificial layer utilising a nozzle mask so as to form the nozzle structure eg. 3758.

17. The next step, as illustrated in Fig. 243, is to back etch an ink supply channel 3734 utilising a deep silicon trench etcher such as that available from Silicon Technology Systems. The printheads can also be diced by this etch.

18. Next, the sacrificial layers are etched away by means of a wet etch and wash.



[0150] The printheads can then be inserted in an ink chamber moulding, tab bonded and a PTFE hydrophobic layer evaporated over the surface so as to provide for a hydrophobic surface.

[0151] In Fig. 245, there is illustrated a portion of a page with printhead including a series of nozzle arrangements as constructed in accordance with the principles of an embodiment. The array 3760 has been constructed for three colour output having a first row 3761 a second row 3762 and a third row 3763. Additionally, a series of bond pads, eg. 3764, 3765 are provided at the side for tab automated bonding to the printhead. Each row 3761, 3762, 3763 can be provided with a different colour ink including cyan, magenta and yellow for providing full colour output. The nozzles of each row 3761 - 3763 are further divided into sub rows eg. 3768, 3769. Further, a glass strip 3770 can be provided for anchoring the actuators of the row 3763 in addition to providing for alignment for the bond pad 3764, 3765.

[0152] The CMOS circuitry can be provided so as to fire the nozzles with the correct timing relationships. For example, each nozzle in the row 3768 is fired together followed by each nozzle in the row 3769 such that a single line is printed.

[0153] It could be therefore seen that an embodiment provides for an extremely compact arrangement of an inkjet printhead which can be made in a highly inexpensive manner in large numbers on a single silicon wafer with large numbers of printheads being made simultaneously. Further, the actuation mechanism provides for simplified complexity in that the number of actuators is halved with the arrangement of an embodiment.

[0154] One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 247. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 246 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet hole.

3. Etch silicon to a depth of 15 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (75 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 248.

4. Deposit 7 microns of sacrificial aluminum.

5. Etch the sacrificial layer using Mask 2, which defines the nozzle walls and actuator anchor. This step is shown in Fig. 249.

6. Deposit 7 microns of low stress glass and planarize down to aluminum using CMP.

7. Etch the sacrificial material to a depth of 0.4 microns, and glass to a depth of at least 0.4 microns, using Mask 3. This mask defined the lower heater. This step is shown in Fig. 250.

8. Etch the glass layer down to aluminum using Mask 4, defining heater vias. This step is shown in Fig. 251.

9. Deposit 1 micron of heater material (e.g. titanium nitride (TiN)) and planarize down to the sacrificial aluminum using CMP. This step is shown in Fig. 252.

10. Deposit 4 microns of low stress glass, and etch to a depth of 0.4 microns using Mask 5. This mask defines the upper heater. This step is shown in Fig. 253.

11. Etch glass down to TiN using Mask 6. This mask defines the upper heater vias.

12. Deposit 1 micron of TiN and planarize down to the glass using CMP. This step is shown in Fig. 254.

13. Deposit 7 microns of low stress glass.

14. Etch glass to a depth of 1 micron using Mask 7. This mask defines the nozzle walls, nozzle chamber baffle, the paddle, the flexure, the actuator arm, and the actuator anchor. This step is shown in Fig. 255.

15. Etch glass to a depth of 3 microns using Mask 8. This mask defines the nozzle walls, nozzle chamber baffle, the actuator arm, and the actuator anchor. This step is shown in Fig. 256.

16. Etch glass to a depth of 7 microns using Mask 9. This mask defines the nozzle walls and the actuator anchor. This step is shown in Fig. 257.

17. Deposit 11 microns of sacrificial aluminum and planarize down to glass using CMP. This step is shown in Fig. 258.

18. Deposit 3 microns of PECVD glass.

19. Etch glass to a depth of 1 micron using Mask 10, which defines the nozzle rims. This step is shown in Fig. 259.

20. Etch glass down to the sacrificial layer (3 microns) using Mask 11, defining the nozzles and the nozzle chamber roof. This step is shown in Fig. 260.

21. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

22. Back-etch the silicon wafer to within approximately 10 microns of the front surface using Mask 12. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This etch can be achieved with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems. This step is shown in Fig. 261.

23. Etch all of the sacrificial aluminum. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 262.

24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

25. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

26. Hydrophobize the front surface of the print heads.

27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 263.


A Description of IJ38 T



[0155] An embodiment of the present invention includes an inkjet arrangement wherein a single actuator drives two output nozzles. When the actuator is driven in the first direction, ink is ejected out of a first nozzle and when the actuator is driven in a second direction, ink is ejected out of a second nozzle. The paddle actuator is interconnected via a slot in the nozzle chamber wall to a rigid thermal actuator which can be actuated so as to cause the ejection of ink from the ink ejection holes.

[0156] Turning initially to Fig. 269 and Fig. 270, there is illustrated a nozzle arrangement 3801 of an embodiment with Fig. 270 being a sectional view through the line VII-VII of Fig. 269. The nozzle arrangement 3801 includes two ink ejection ports 3802, 3803 for the ejection of ink from within a nozzle chamber. The nozzle chamber further includes first and second chamber portions 3805, 3806 in addition to an etched cavity 3807 which, during normal operation, are normally filled with ink supplied via an ink inlet channel 3808. The ink inlet channel 3808 is in turn connected to an ink supply channel 3809 etched through a silicon wafer. Inside the nozzle chamber is located an actuator paddle 3810 which is interconnected through a slot 3812 in the chamber wall to an actuator arm 3 813 which is actuated by means of thermal actuators 3814, 3815 which are in turn connected to a substrate 3817 via an end block portion 3818 with the substrate 3817 providing the relevant electrical interconnection for the heaters 3814, 3815.

[0157] Hence, the actuator arm 3813 can be actuated by the thermal actuators 3814, 3815 to move up and down so as to eject ink via the nozzle holes 3802 or 3803. A series of holes eg. 3820 - 3822 are also provided in top of the nozzle plate. As will become more readily apparent hereinafter, the holes 3820 - 3822 assist in the etching of sacrificial layers during construction in addition to providing for "breathing" assistance during operation of the nozzle arrangement 3801. The two chambers 3805, 3806 are separated by a baffle 3824 and the paddle arm 3810 includes a end lip portion 3825 in addition to a plug portion 3826. The plug portion 3826 is designed to mate with the boundary of the ink inlet channel 3808 during operation.

[0158] Turning now to Fig. 264 to Fig. 268, there will now be explained the operation of the nozzle arrangement 3801. Each of Fig. 264 to Fig. 268 illustrate a cross sectional view of the nozzle arrangement during various stages of operation. Turning initially to Fig. 264, there is shown the nozzle arrangement 3801 when in its quiescent position. In this state, the paddle 3810 is idle and ink fills the nozzle chamber so as to form menisci 3829 - 3833 and 3837.

[0159] When it is desired to eject a drop out of the nozzle port 3803, as indicated in Fig. 266, the bottom heater 3815 is actuated. The heater 3815 can comprise a 60% copper and 40% nickel alloy which has a high bending efficiency where the bending efficiency is defined as:



[0160] The two heaters 3814, 3815 can be constructed from the same material and normally exist in a state of balance when the paddle 3810 is in its quiescent position. As noted previously, when it is desired to eject a drop out of nozzle chamber 3803, the heater 3815 is actuated which causes a rapid upwards movement of the actuator paddle 3810. This causes a general increase in pressure in the area in front of the actuator paddle 3810 which further causes a rapid expansion in the meniscus 3830 in addition to a much less significant expansion in the menisci 3831 - 3833 (due to their being of a substantially smaller radius). Additionally, the substantial decrease in pressure around the back surface of the paddle 3810 causes a general inflow of ink from the nozzle chamber 3808 in addition to causing a general collapse in the meniscus 3829 and a corresponding flow of ink 3835 around the baffle 3824. A slight bulging also occurs in the meniscus 3837 around the slot in the side wall 3812.

[0161] Turning now to Fig. 267, the heater 3815 is merely pulsed and turned off when it reaches its maximum extent. Hence, the paddle actuator 3810 rapidly begins to return to its quiescent position causing the ink around the ejection port 3803 to begin to flow back into the chamber. The forward momentum of the ink in the expanded meniscus and the backward pressure exerted by actuator paddle 3810 results in a general necking of the meniscus and the subsequent breaking off of a separate drop 3839 which proceeds to the print media. The menisci 3829, 3831, 3832 and 3833 each of a generally concave shape exert a further force on the ink within the nozzle chamber which begins to draw ink in from the ink inlet channel 3808 so as to replenish the nozzle chamber. Eventually, the nozzle arrangement returns to the quiescent position which is as previously illustrated in respect of Fig. 264.

[0162] Turning now to Fig. 267, when it is desired to eject a droplet of ink out of the ink ejection port 3802, the thermal actuator 3814 is actuated resulting in a general expansion of the thermal, actuator 3814 which in turn causes a rapid downward movement of the actuator paddle 3810. The rapid downward movement causes a substantial increase in pressure within the cavity 3807 which in turn results in a general rapid expansion of the meniscus 3829. The end plug portion 3826 results in a general blocking of the ink supply channel 3 808 stopping fluid from flowing back down the ink supply channel 3808. This further assists in causing ink to flow towards the cavity 3807. The menisci 3830 - 3833 of Fig. 264 are drawn generally into the nozzle chamber and may unite so as to form a single meniscus 3840. The meniscus 3837 is also drawn into the chamber. The heater 3814 is merely pulsed, which as illustrated in Fig. 268 results in a rapid return of the paddle 3810 to its quiescent position. The return of the paddle 3810 results in a general reduction in pressure within the cavity 3807 which in turn results in the ink around the nozzle 3802 beginning to flow 3843 back into the nozzle chamber. The forward momentum of the ink around the meniscus 3829 in addition to the backflow 3843 results in a general necking of the meniscus and the formation of an ink drop 3842 which separates from the main body of the ink and continues to the print media.

[0163] The return of the actuator paddle 3810 further results in plugging portion 3826 "unplugging" the ink supply channel 3808. The general reduction in pressure in addition to the collapsed menisci 3840, 3837 and 3829 results in a flow of ink from the ink inlet channel 3808 into the nozzle chamber so as to cause replenishment of the nozzle chamber and return to the quiescent state as illustrated in Fig. 265.

[0164] Returning now to Fig. 269 and Fig. 270, a number of other important features of an embodiment include the fact that each nozzle eg. 3802, 3803, 3820, 3821, 3822, 3812 etc. includes a nozzle rim around its outer periphery. The nozzle rim acts to stop wicking of the meniscus formed across the nozzle rim. Further, the actuator arm 3813 is provided with a wick minimisation protrusion eg. 3844 in addition to a series of pits eg. 3845 which were again shaped so as to minimise wicking along the surfaces surrounding the actuator arms 3813.

[0165] The nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.

[0166] For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

[0167] Preferably, a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.

[0168] Turning now to Fig. 270 - Fig. 288 there will now be explained one form of fabrication of an embodiment. An embodiment can start with a CMOS processed silicon wafer 3850 which can include a standard CMOS layer 3851 of the relevant electrical circuitry etc. The processing steps can then be as follows:

1. As illustrated in Fig. 270 a deep silicon etch is performed so as to form the nozzle cavity 3807 and ink inlet 3808. A series of pits eg. 3845 are also etched down to an aluminium portion of the CMOS layer.

2. Next, as illustrated in Fig. 271, a sacrificial material layer is deposited and planarised using a standard Chemical Mechanical Planarization (CMP) process before being etched with a nozzle wall mask so as to form cavities for the nozzle wall, plug portion and interconnect portion. A suitable etchant material is aluminium which is often utilised in MEMS processes as a sacrificial material.

3. Next, as illustrated in Fig. 272, a 3 micron layer of low stress glass is deposited and planarized utilising CMP.

4. Next, as illustrated in Fig. 273, the sacrificial material 3852 is etched to a depth of 1.1 micron and the glass 3853 is further etched at least 1.1 micron utilising a first heater mask.

5. Next, as illustrated in Fig. 274, the glass is etched eg. 3855 down to an aluminium layer eg. 3856 of the CMOS layer.

6. Next, as illustrated in Fig. 275, a 3 micron layer of 60% copper and 40% nickel alloy is deposited 3857 and planarized utilising CMP. The copper and nickel alloy hereinafter called "cupronickel" is a material having a high "bend efficiency" as previously described.

7. Next, as illustrated in Fig. 276, a 3 micron layer 3860 of low stress glass is deposited and etched utilising a first paddle mask.

8. Next, as illustrated in Fig. 277, a further 3 micron layer of aluminium eg. 3861 is deposited and planarized utilising chemical mechanical planarization.

9. Next, as illustrated in Fig. 278, a 2 micron layer of low stress glass is deposited and etched 3863 by 1.1 micron utilizing a heater mask for the second heater.

10. As illustrated in Fig. 279, the glass is etched 3864 down to the cupronickel layer so as to provide for the upper level heater contact.

11. Next, as illustrated in Fig. 280, a 3 micron layer of cupronickel alloy is deposited and planarized 3865 utilizing CMP.

12. Next, as illustrated in Fig. 281, a 7 micron layer of low stress glass 3866 is deposited.

13. Next, as illustrated in Fig. 282 the glass is etched 3868 to a depth of 2 micron utilizing a mask for the paddle.

14. Next, as illustrated in Fig. 283, the glass is etched to a depth of 7 micron using a mask for the nozzle walls, portions of the actuator and the post portion.

15. Next, as illustrated in Fig. 284, a 9 micron layer of sacrificial material is deposited 3870 and planarized utilising CMP.

16. Next, as illustrated in Fig. 285, a 3 micron layer of low stress glass is deposited and etched 3871 to a depth of 1 micron utilizing a nozzle rim mask.

17. Next, as illustrated in Fig. 286, the glass is etched down to the sacrificial layer eg. 3872 utilising a nozzle mask.

18. Next, as illustrated in Fig. 287, an ink supply channel 3873 is etched through from the back of the wafer utilizing a silicon deep trench etcher which has near vertical side wall etching properties. A suitable silicon trench etcher is the deep silicon trench etcher available from Silicon Technology Systems of the United Kingdom. The printheads can also be "diced" as a result of this etch.

19. Next, as illustrated in Fig. 288, the sacrificial layers are etched away utilising a wet etch so as release the structure of the printhead.



[0169] The printheads can then be washed and inserted in an ink chamber moulding for providing an ink supply to the back of the wafer so to allow ink to be supplied via the ink supply channel. The printhead can then have one edge along its surface TAB bonded to external control lines and preferably a thin anti-corrosion layer of ECR diamond-like carbon deposited over its surfaces so as to provide for anti corrosion capabilities.

[0170] Turning now to Fig. 289, there is illustrated a portion 3 880 of a full-colour printhead which is divided into three series of nozzles 3881, 3882 and 3883. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows 3886, 3887 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.

[0171] As illustrated in Fig. 289 the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles. Further, the block portion (3818) of Fig. 264 is formed in the wall of an adjacent series with the block portion of the row 3883 being formed in a separate guide rail 3890 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3890 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3891, 3892 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.

[0172] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 292. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 291 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the pit underneath the paddle, the anti-wicking pits at the actuator entrance to the nozzle chamber, as well as the edges of the print heads chip.

3. Etch silicon to a depth of 20 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (60 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 293.

4. Deposit 23 microns of sacrificial material (e.g. polyimide or aluminum). Planarize to a thickness of 3 microns over the chip surface using CMP.

5. Etch the sacrificial layer using Mask 2, which defines the nozzle walls and actuator anchor. This step is shown in Fig. 294.

6. Deposit 3 microns of PECVD glass and planarize using CMP.

7. Etch the sacrificial material to a depth of 1.1 microns, and glass to a depth of at least 1.1 microns, using Mask 3. This mask defined the lower heater. This step is shown in Fig. 295.

8. Etch the glass layer down to aluminum using Mask 4, defining heater vias. This step is shown in Fig. 296.

9. Deposit 3 microns of heater material (e.g. cupronickel [Cu: 60%, Ni: 40%] or TN). If cupronickel, then deposition can consist of three steps - a thin anti-corrosion layer of, for example, TiN, followed by a seed layer, followed by electroplating of the cupronickel.

10. Planarize down to the sacrificial layer using CMP. Steps 7 to 10 form a 'dual damascene' process. This step is shown in Fig. 297.

11. Deposit 3 microns of PECVD glass and etch using Mask 5. This mask defines the actuator arm and the second layer of the nozzle chamber wall. This step is shown in Fig. 298.

12. Deposit 3 microns of sacrificial material and planarize using CMP.

13. Deposit 2 microns of PECVD glass.

14. Etch the glass to a depth of 1.1 microns, using Mask 6. This mask defined the upper heater. This step is shown in Fig. 299.

15. Etch the glass layer down to heater material using Mask 7, defining the upper heater vias. This step is shown in Fig. 300.

16. Deposit 3 microns of the same heater material as step 9.

17. Planarize down to the glass layer using CMP. Steps 14 to 17 form a second dual damascene process. This step is shown in Fig. 301.

18. Deposit 7 microns of PECVD glass. This step is shown in Fig. 302.

19. Etch glass to a depth of 2 microns using Mask 8. This mask defines the paddle, actuator, actuator anchor, as well as the nozzle walls. This step is shown in Fig. 303.

20. Etch glass to a depth of 7 microns (stopping on sacrificial material in exhaust gasses) using Mask 9. This mask defines the nozzle walls and actuator anchor. This step is shown in Fig. 304.

21. Deposit 9 microns of sacrificial material and planarize down to glass using CMP. This step is shown in Fig. 305.

22. Deposit 3 microns of PECVD glass.

23. Etch glass to a depth of 1 micron using Mask 10, which defines the nozzle rims. This step is shown in Fig. 306.

24. Etch glass down to the sacrificial layer (3 microns) using Mask 11, defining the nozzles and the nozzle chamber roof. This step is shown in Fig. 307.

25. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

26. Back-etch silicon wafer to within approximately 15 microns of the front surface using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This etch can be achieved with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems. This step is shown in Fig. 308.

27. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 309.

28. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

29. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

30. Hydrophobize the front surface of the print heads.

31. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 310.



[0173] It would therefore be evident that an embodiment provides for a compact form of manufacture of an inkjet printhead which includes a dual nozzle single actuator system.

A Description of IJ39 T



[0174] In an embodiment, an inkjet printing system is provided having an ink ejection nozzle arrangement such that a paddle actuator type device is utilised to eject ink from a refillable nozzle chamber. As a result of the construction processes utilised, the paddle is generally of a "cupped" shape. The cup shape provides for the alleviation of a number of the aforementioned problems. The paddle is interconnected to a thermal actuator device which is thermally actuated by means of passing a current through a portion of the thermal actuator, so as to cause the ejection of ink therefrom. Further, the cupped paddle allows for a suitable construction process which does not require the formation of thick surface layers during the process of construction. This means that thermal stresses across a series of devices constructed on a single wafer are minimised.

[0175] Turning initially to Fig. 311 to Fig. 313, there will now be explained the operational principles of an embodiment. In Fig. 311 there is illustrated an inkjet nozzle arrangement 3901 having a nozzle chamber 3902 which is normally filled with ink from a supply channel 3903 such that a meniscus 3904 forms across the ink ejection aperture of the nozzle arrangement. Inside the nozzle arrangement, a cupped paddle actuator 3905 is provided and interconnected to an actuator arm 3906 which, when in a quiescent position, is bent downwards. The lower surface of the actuator arm 3906 includes a heater element 3908 which is constructed of material having a high "bend efficiency".

[0176] Preferably, the heater element has a high bend efficiency wherein the bend efficiency is defined as:



[0177] A suitable material can be a copper nickel alloy of 60% copper and 40% nickel, hereinafter called (cupronickel) which can be formed below a glass layer so as to bend the glass layer.

[0178] In its quiescent position, the arm 3906 is bent down by the element 3908. When it is desired to eject a droplet of ink from the nozzle chamber 3902, a current is passed through the actuator arm 3908 by means of an interconnection provided by a post 3909. The heater element 3908 is heated and expands with a high bend efficiency thereby causing the arm 3906 to move upwards as indicated in Fig. 312. The upward movement of the actuator arm 3906 causes the cupped paddle 3905 to also move up which results in a general increase in pressure within the nozzle chamber 3902 in the area surrounding the meniscus 3904. This results in a general outflow of ink and a bulging of the meniscus 3904. Next, as indicated in Fig. 313, the heater element 3908 is turned off which results in the general return of the arm 3906 to its quiescent position which further results in a downward movement of the cupped paddle 3905. This results in a general sucking back 3911 of the ink within the nozzle chamber 3902. The forward momentum of the ink surrounding the meniscus and the backward momentum of the ink 3911 results in a general necking of the meniscus and the formation of a drop 3912 which proceeds to the surface of the page. Subsequently, the shape of the meniscus 3904 results in a subsequent inflow of ink via the inlet channel 3903 which results in a refilling of the nozzle chamber 3902. Eventually, the state returns to that indicated by Fig. 311.

[0179] Turning now to Fig. 314, there is illustrated a side perspective view partly in section of one form of construction, a single nozzle arrangement 3901 in greater detail. The nozzle arrangement 3901 includes a nozzle chamber 3902 which is normally filled with ink. Inside the nozzle chamber 3902 is a paddle actuator 3905 which divides the nozzle chamber from an ink refill supply channel 3903 which supplies ink from a back surface of a silicon wafer 3914.

[0180] Outside of the nozzle chamber 3902 is located an actuator arm 3906 which includes a glass core portion and an external cupronickel portion 3908. The actuator arm 3906 interconnects with the paddle 3905 by means of a slot 3919 located in one wall of the nozzle chamber 3902. The slot 3919 is of small dimensions such that surface tension characteristics retain the ink within the nozzle chamber 3902. Preferably, the external portions of the arrangement 3901 are further treated so as to be strongly hydrophobic. Additionally, a pit 3921 is provided around the slot 3919. The pit includes a ledge 3922 with the pit and ledge interacting so as to minimise the opportunities for "wicking" along the actuator arm 3906. Further, to assist of minimising of wicking, the arm 3906 includes a thinned portion 3924 adjacent to the nozzle chamber 3902 in addition to a right angled wall 3925.

[0181] The surface of the paddle actuator 3905 includes a slot 3911. The slot 3911 aids in allowing for the flow of ink from the back surface of paddle actuator 3905 to a front surface. This is especially the case when initially the arrangement is filled with air and a liquid is injected into the refill channel 3903. The dimensions of the slot are such that, during operation of the paddle for ejecting drops, minimal flow of fluid occurs through the slot 3911.

[0182] The paddle actuator 3905 is housed within the nozzle chamber and is actuated so as to eject ink from the nozzle 3927 which in turn includes a rim 3928. The rim 3928 assists in minimising wicking across the top of the nozzle chamber 3902.

[0183] The cupronickel element 3908 is interconnected through a post portion 3909 to a lower CMOS layer 3915 which provides for the electrical control of the actuator element.

[0184] Each nozzle arrangement 3901, can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.

[0185] For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

[0186] Turning initially to Fig. 316(a) and 6b, in Fig. 316(b) there is shown an initial processing step which utilizes a mask having a region as specified in Fig. 316(a). The initial starting material is preferably a silicon wafer 3914 having a standard 0.25 micron CMOS layer 3915 which includes drive electronics (not shown), the structure of the drive on electronics being readily apparent to those skilled in the art of CMOS integrated circuit designs.

[0187] The first step in the construction of a single nozzle is to pattern and etch a pit 3928 to a depth of 13 micron using the mask pattern having regions specified 3929 as illustrated in Fig. 316(a).

[0188] Next, as illustrated in Fig. 317(b), a 3 micron layer of the sacrificial material 3930 is deposited. The sacrificial material can comprise aluminium. The sacrificial material 3930 is then etched utilising a mask pattern having portions 3931 and 3932 as indicated at Fig. 317(a).

[0189] Next, as shown in Fig. 318(b) a very thin 0.1 µm layer of a corrosion barrier material (for example, silicon nitride) is deposited 3934 and subsequently etched so as to form the heater element 3935. The etch utilises a third mask having mask regions specified 3936 and 3937 in Fig. 318(a).

[0190] Next, as shown intended in Fig. 319(b), a 1.1 micron layer of heater material which can comprise a 60% copper 40% nickel alloy is deposited 3939 utilising a mask having a resultant mask region as illustrated in Fig. 319(a).

[0191] Next a 0.1 µm corrosion layer is deposited over the surface. The corrosion barrier can again comprise silicon nitride.

[0192] Next, as illustrated in Fig. 320(b), a 3.4µm layer of glass 3942 is deposited. The glass and nitride can then be etched utilising a mask as specified 3943 in Fig. 320(a). The glass layer 3942 includes, as part of the deposition process, a portion 3944 which is a result of the deposition process following the lower surface profile.

[0193] Next, a 6 µm layer of sacrificial material such as aluminium is deposited 3945 as indicated in Fig. 321(b). This layer is planarized to approximately 4µm minimum thickness utilising a Chemical Mechanical Planarization (CMP) process. Next, the sacrificial material layer is etched utilizing a mask having regions 3948, 3949 as illustrated in Fig. 321(a) so as to form portions of the nozzle wall and post.

[0194] Next, as illustrated in Fig. 322(b), a 3m layer of glass 3950 is deposited. The 3µm layer is patterned and etched to a depth of 1 µm using a mask having a region specified 3951 as illustrated in Fig. 322(b) so as to form a nozzle rim.

[0195] Next, as illustrated in Fig. 323(b) the glass layer is etched utilising a further mask as illustrated in Fig. 322(a) which leaves glass portions eg. 3953 to form the nozzle chamber wall and post portion 3954.

[0196] Next, as illustrated in Fig. 324(b) the backside of the wafer is patterned and etched so as to form an ink supply channel 3903. The mask utilised can have regions 3956 as specified in Fig. 324(a). The etch through the backside of the wafer can preferably utilize a high quality deep anisotropic etching system such as that available from Silicon Technology Systems of the United Kingdom. Preferably, the etching process also results in the dicing of the wafer into its separate printheads at the same time.

[0197] Next, as illustrated in Fig. 325, the sacrificial material can be etched away so as to release the actuator structure. Upon release, the actuator 3906 bends downwards due to its release from thermal stresses built up during deposition. The printhead can then be cleaned and mounted in a moulded ink supply system for the supply of ink to the back surface of the wafer. A TAB film for suppling electric control to an edge of the printhead can then be bonded utilizing normal TAB bonding techniques. The surface area can then be hydrophobically treated and finally the ink supply channel and nozzle chamber filled with ink for testing.

[0198] Hence, as illustrated in Fig. 326, a pagewidth printhead having a repetitive structure 3960 can be constructed for full colour printing. Fig. 326 shows a portion of the final printhead structure and includes three separate groupings 3961-3963 with one grouping for each colour and each grouping eg. 3963 in turn consisting of two separate rows of inkjet nozzles 3965, 3966 which are spaced apart in an interleaved pattern. The nozzle 3965, 3966 are fired at predetermined times so as to form an output image as would be readily understood by those skilled in the art of construction of inkjet printhead. Each nozzle eg. 3968 includes its own actuator arm 3969 which, in order to form an extremely compact arrangement, is preferably formed so as to be generally bent with respect to the line perpendicular to the row of nozzles. Preferably, a three colour arrangement is provided which has one of the groups 3961-3963 dedicated to cyan, magenta and another yellow colour printing. Obviously, four colour printing arrangements can be constructed if required.

[0199] Preferably, at one side a series of bond pads eg. 3971 are formed along the side for the insertion of a tape automated bonding (TAB) strip which can be aligned by means of alignment rail eg. 3972 which is constructed along one edge of the printhead specifically for this purpose.

[0200] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 328. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 327 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the pit underneath the paddle, as well as the edges of the print heads chip.

3. Etch silicon to a depth of 8 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (60 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 329.

4. Deposit 3 microns of sacrificial material (e.g. aluminum or polyimide)

5. Etch the sacrificial layer using Mask 3, defining heater vias and nozzle chamber walls. This step is shown in Fig. 330.

6. Deposit 0.2 microns of heater material, e.g. TiN.

7. Etch the heater material using Mask 3, defining the heater shape. This step is shown in Fig. 331.

8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

9. Deposit 3 microns of PECVD glass.

10. Etch glass layer using Mask 4. This mask defines the nozzle chamber wall, the paddle, and the actuator arm. This step is shown in Fig. 332.

11. Deposit 6 microns of sacrificial material.

12. Etch the sacrificial material using Mask 5. This mask defines the nozzle chamber wall. This step is shown in Fig. 333.

13. Deposit 3 microns of PECVD glass.

14. Etch to a depth of (approx.) 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 334.

15. Etch down to the sacrificial layer using Mask 7. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 335.

16. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 336.

17. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 337.

18. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

19. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

20. Hydrophobize the front surface of the print heads.

21. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 338.


A Description of IJ40 T



[0201] In an embodiment, there is provided a nozzle chamber having ink within it and a thermal actuator device interconnected to a paddle the thermal actuator device being actuated so as to eject from the nozzle chamber. An embodiment includes a particular thermal actuator structure which includes a series of tapered actuator heater arms for providing conductive heating of a conductive trace. The actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber. The actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.

[0202] Turning initially to Fig. 339 to Fig. 341, there is provided schematic illustrations of the basic operation of the device. A nozzle chamber 4001 is provided filled with ink 4002 by means of an ink inlet channel 4003 which can be etched through a wafer substrate on which the nozzle chamber 4001 rests. The nozzle chamber 4001 further includes an ink ejection aperture 4004 around which an ink meniscus forms.

[0203] Inside the nozzle chamber 4001 is a paddle type device 4007 which is interconnected to an actuator arm 4008 through a slot in the wall of the nozzle chamber 4001. The actuator arm 4008 includes a heater means eg. 4009 located adjacent to a post end portion 4010 of the actuator arm. The post 4010 being fixed to a substrate.

[0204] When it is desired to eject a drop from the nozzle chamber, as illustrated in Fig. 340, the heater means 4009 is heated so as to undergo thermal expansion. Preferably, the heater means itself or the other portions of the actuator arm 4008 are built from materials having a high bend efficiency.

[0205] A suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.

[0206] The heater means is ideally located adjacent the post end portion 4010 such that the effects of activation are magnified at the paddle end 4007 such that small thermal expansions near post 4010 result in large movements of the paddle end.

[0207] The heating 4009 and consequential paddle movement causes a general increase in pressure around the ink meniscus 4005 which expands, as illustrated in Fig. 340, in a rapid manner. The heater current is pulsed and ink is ejected out of the nozzle 4004 in addition to flowing in from the ink channel 4003. Subsequently, the paddle 4007 is deactivated to again return to its quiescent position. The deactivation causes a general reflow of the ink into the nozzle chamber. The forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4012 which proceeds to the print media. The collapsed meniscus 4005 results in a general sucking of ink into the nozzle chamber 4002 via the in flow channel 4003. In time, the nozzle chamber is refilled such that the position in Fig. 339 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.

[0208] Turning now to Fig. 342 there is illustrated a view of a single nozzle arrangements of an embodiment. The arrangement of Fig. 342 has a number in the structures which aid and assist in the low energy operation of the paddle.

[0209] Firstly, the actuator 4008 includes a series of tapered heater sections eg. 4015 which comprise an upper glass portion (amorphous silicon dioxide) 4016 formed on top of a titanium nitride layers 4017. Alternatively a copper nickel alloy layer (hereinafter called cupronickel) can be utilized which will have a higher bend efficiency.

[0210] The titanium nitride layer 4017 is in a tapered form and, as such, resistive heating takes place near the post end portion 4010. Adjacent titanium nitride/glass portions are interconnected at block portion 4019 which also provides for a mechanical structural support for the actuator arm.

[0211] The heater means ideally includes a plurality of tapered portions 4015 which are elongated and spaced apart such that, upon heating, the bending force exhibited along the axis of the actuator arm is maximized. The slots between adjacent tapered portions allow for slight differential operation of each thermal actuator with respect to adjacent actuators.

[0212] The block portion 4019 is interconnected to an arm portion 4020. The arm 4020 is in turn connected to the paddle 4007 inside the nozzle chamber 4001 by means of a slot eg. 4022 formed in the side of the nozzle chamber 4001. The formation of the slot 4022 is designed generally to mate with the surfaces of the arm 4020 so as to minimise opportunities for the outflow of ink around this arm. The ink is held generally within the nozzle chamber 4001 via surface tension effects around the slot 4022.

[0213] When it is desired to actuate the arm 4008, a conductive current is passed through the titanium nitride layer 4017 via vias within the block portion 4010 connecting to a lower CMOS layer 4006 which provides for the necessary power and control circuitry for the nozzle arrangement. The conductive current results in heating of the nitride layer 4017 adjacent to the post portion 4010 which results in a general upward bending of the arm 4008 and the consequential ejection of ink out of the nozzle 4004. The ejected drop being printed on page in the usual manner for an inkjet printer as previously described.

[0214] Obviously, an array of ink ejection devices can be subsequently formed so as to create a single printhead. For example, in Fig. 343 there is illustrated an array views which comprises multiple ink ejection nozzle arrangements 4001 laid out in interleaved lines so as to form a printhead array. Of course, different types of arrays can be formulated including full color arrays etc.

[0215] An embodiment achieves a particular balance between utilisation of the standard semi-conductor processing material such as titanium nitride and glass in a MEMS process. Obviously the skilled person may make other choices of materials and design features where the economics are justified. For example, a copper nickel alloy of 50% copper and 50% nickel may be more advantageously deployed as the conductive heating compound as it is likely to have higher levels of bend efficiency. Also, other design structures may be employed where it is not necessary to provide for such a simple form of manufacture.

[0216] One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 345. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 344 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the surface anti-wicking notch, and the heater contacts. This step is shown in Fig. 346.

3. Deposit 1 micron of sacrificial material (e.g. aluminum or photosensitive polyimide).

4. Etch (if aluminum) or develop (if photosensitive polyimide) the sacrificial layer using Mask 2. This mask defines the nozzle chamber walls and the actuator anchor point. This step is shown in Fig. 347.

5. Deposit 0.2 micron of heater material, e.g. TiN.

6. Deposit 3.4 microns of PECVD glass.

7. Etch both glass and heater layers together, using Mask 3. This mask defines the actuator, paddle, and nozzle chamber walls. This step is shown in Fig. 348.

8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

9. Deposit 10 microns of sacrificial material.

10. Etch or develop sacrificial material using Mask 4. This mask defines the nozzle chamber wall. This step is shown in Fig. 349.

11. Deposit 3 microns of PECVD glass.

12. Etch to a depth of (approx.) 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 350.

13. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 351.

14. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 352.

15. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 353.

16. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

17. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

18. Hydrophobize the front surface of the print heads.

19. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 354.


A Description of IJ41 T



[0217] In an embodiment, there is provided a nozzle chamber having ink within it and a thermal actuator device interconnected to a panel the thermal actuator device being actuated so as to eject ink from the nozzle chamber. An embodiment includes a particular thermal actuator structure which includes a tapered heater structure arms for providing positional heating of a conductive heater layer row. The actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber. The actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.

[0218] Turning initially to Fig. 355 to Fig. 357, there is provided schematic illustrations of the basic operation of the device. A nozzle chamber 4101 is provided filled with ink 4102 by means of an ink inlet channel 4103 which can be etched through a wafer substrate on which the nozzle chamber 4101 rests. The nozzle chamber 4101 includes an ink ejection aperture 4104 around which an ink meniscus forms.

[0219] Inside the nozzle chamber 4101 is a paddle type device 4107 which is interconnected to an actuator arm 4108 through a slot in the wall of the nozzle chamber 4101. The actuator arm 4108 includes a heater means eg. 4109 located adjacent to a post end portion 4110 of the actuator arm. The post 4110 being fixed to a substrate.

[0220] When it is desired to eject a drop from the nozzle chamber, as illustrated in Fig. 356, the heater means 4109 is heated so as to undergo thermal expansion. Preferably, the heater means itself or the other portions of the actuator arm 4108 are built from materials having a high bend efficiency.

[0221] A suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.

[0222] The heater means is ideally located adjacent the post end portion 4110_ such that the effects of activation are magnified at the paddle end 4107 such that small thermal expansions near post 4110 result in large movements of the paddle end. The heating 4109 causes a general increase in pressure around the ink meniscus 4105 which expands, as illustrated in Fig. 356, in a rapid manner. The heater current is pulsed and ink is ejected out of the nozzle 4104 in addition to flowing in from the ink channel 4103. Subsequently, the paddle 4107 is deactivated to again return to its quiescent position. The deactivation causes a general reflow of the ink into the nozzle chamber. The forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4112 which proceeds to the print media. The collapsed meniscus 4105 results in a general sucking of ink into the nozzle chamber 4102 via the in flow channel 4103. In time, the nozzle chamber is refilled such that the position in Fig. 355 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.

[0223] Turning now to Fig. 358, there is illustrated a single nozzle arrangement 4120 of an embodiment. The arrangements includes an actuator arm 4121 which includes a bottom arm 4122 which is constructed from a conductive material such as a copper nickel alloy (hereinafter called cupronickel) or titanium nitride (TiN). The layer 4122, as will become more apparent hereinafter includes a tapered end portion near the end post 4124. The tapering of the layer 4122 near this end means that any conductive resistive heating occurs near the post portion 4124.

[0224] The layer 4122 is connected to the lower CMOS layers 4126 which are formed in the standard manner on a silicon substrate surface 4127. The actuator arm 4121 is interconnected to an ejection paddle which is located within a nozzle chamber 4128. The nozzle chamber includes an ink ejection nozzle 4129 from which ink is ejected an includes a convoluted slot arrangement 4130 which is constructed such that the actuator arm 4121 is able to move up and down whilst causing minimal pressure fluctuations in the area of the nozzle chamber 4128 around the slotted interconnect 4130.

[0225] Fig. 359 illustrates a sectional view through a single nozzle and illustrates more clearly the internal structure of the nozzle chamber which includes the paddle 4132 attached to the actuator arm 4121 by means of arm 4133. Importantly, the actuator arm 4121 includes, as noted previously, a bottom conductive strip portion 4122. Additionally, a second top strip portion 4125 is also provided.

[0226] The utilization of a second layer 4125 of the same material as the first layer 4122 allows for more accurate control of the actuator position as will be described with reference to Fig. 360 and Fig. 361. In Fig. 360, there is illustrated the example where a high Young's Modulus material 4140 is deposited utilizating standard semiconductor deposition techniques and on top of which is further deposited a second layer 4141 having a much lower Young's Modulus. Unfortunately, the deposition is likely to occur at a high temperature. Upon cooling, the two layers are likely to have different coefficients of thermal expansion and different Young's Modulus. Hence, in ambient room temperature, the thermal stresses are likely to cause bending of the two layers of material as shown 4142.

[0227] By utilizing a second deposition of the material having a high Young's Modulus, the situation in Fig. 361 is likely to result wherein the material 4141 is sandwiched between the two layers 4140. Upon cooling, the two layers 4140 are kept in tension with one another so as to result in a more planar structure 4145 no matter what operation temperature. This principle is utilized in the deposition of the two layers 4122, 4125 of Fig. 358 to Fig. 359.

[0228] Turning again to Fig. 358 and Fig. 359, one important attribute of an embodiments includes the slotted arrangement 4130. The slotted arrangement results in the actuator arm 4121 moving up and down thereby causing the paddle 4132 to also move up and down resulting in the ejection of ink. The slotted arrangements 4130 results in minimum ink outflow through the actuator arm interconnection and also results in minimal pressure increases in this area. The base 4133 of the actuator arm is extended out so as to form an extended interconnect with the paddle surface thereto providing for better attachment. The face 4133 is connected to a block arm 4136 which is provided to provide a high degree of rigidity. The actuator arm 4136 and the wall of the nozzle chamber 4128 have a general corrugated nature so as to reduce any flow of ink through the interconnection. The exterior surface of the nozzle chamber adjacent the block portion 4136 has a rim eg. 4138 so to minimize wicking of ink outside of the nozzle chamber. A pit 4137 is also provided for this purpose. The pit 4137 being formed in the lower CMOS layers 4126. An ink supply channel 4139 is provided by means of back etching through the wafer to the back surface of the nozzle.

[0229] Turning now to Fig. 362 to Fig. 369 there will now be described the manufacturing steps utilizing the construction of a single nozzle in accordance with an embodiment.

[0230] The manufacturing uses standard micro-electro mechanical techniques for a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.

1. An embodiment starts with a double sided polished wafer complete with, say, a 0.2 micron 1 poly 2 metal CMOS process providing for all the electrical interconnect necessary to drive the inkjet nozzle.

2. As shown in Fig. 362, the CMOS wafer is etched 4150 down to the silicon layer 4127. The etching includes etching down to an aluminium CMOS layer 4151, 4152.

3. Next, as illustrated in Fig. 363, a 1 micron layer of sacrificial material 4155 is deposited. The sacrificial material can be aluminium or photosensitive polyimide.

4. The sacrificial material is etched in the case of aluminium or exposed and developed in the case of polyimide in the area of the nozzle rim 4156 and including a depressed paddle area 4157.

5. Next, a 1 micron layer of heater material (cupronickel or TiN) is deposited 4160.

6. 6. A 3.4 micron layer of PECVD glass 4161 is then deposited.

7. A second layer 4162 equivalent to the first layer 4160 is then deposited.

8. All three layers 4160 - 4162 are then etched utilizing the same mask. The utilization of a single mask substantially reduces the complexity in the processing steps involved in creation of the actuator paddle structure and the resulting structure is as illustrated in Fig. 364. Importantly, a break 4163 is provided so as to ensure electrical installation of the heater portion from the paddle portion.

9. Next, as illustrated in Fig. 365, a 10 micron layer of sacrificial material 4170 is deposited.

10. The deposited layer is etched (or just developed if polyimide) utilizing a fourth mask which includes nozzle rim etchant holes 4171 block portion holes 4172 and post portion 4173.

11. Next a 10 µm of PCVD glass is deposited so as to form the nozzle rim 4171, arm portions 4172 and post portions 4173.

12. The glass layer is then planarized utilizing chemical mechanical planarization (CMP) with the resulting structure as illustrated in Fig. 365.

13. Next, as illustrated in Fig. 365, a 3 micron layer of PECVD glass is deposited.

14. The deposited glass is then etched as shown in Fig. 366, to a depth of approximately 1 µm so as to form nozzle rim portion 4181 and actuator interconnect portion 4182.

15. Next, as illustrated in Fig. 367, the glass layer is etched utilizing a 6th mask so as to form final nozzle rim portion 4181 and actuator guide portion 4182.

16. Next, as illustrated in Fig. 368, the ink supply channel is back etched 4185 from the back of the wafer utilizing a 7th mask. The etch can be performed utilizing a high precision deep silicon trench etcher such as the STS Advanced Silicon Etcher (ASE). This step can also be utilized to nearly completely dice the wafer.

17. Next, as illustrated in Fig. 369 the sacrificial material can be stripped or dissolved to also complete dicing of the wafer in accordance with requirements.

18. Next, the printheads can be individually mounted on attached moulded plastic ink channels to supply ink to the ink supply channels.

19. The electrical control circuitry and power supply can then be bonded to an etch of the printhead with a TAB film.

20. Generally, if necessary, the surface of the printhead is then hydrophobized so as to ensure minimal wicking of the ink along external surfaces. Subsequent testing can determine operational characteristics.



[0231] Importantly, as shown in the plan view of Fig. 370, the heater element has a tapered portion adjacent the post 4173 so as to ensure maximum heating occurs near the post.

[0232] Of course, different forms of inkjet printhead structures can be formed. For example, there is illustrated in Fig. 371, a portion of a single color printhead having two spaced apart rows 4190, 4191, with the two rows being interleaved so as to provide for a complete line of ink to be ejected in two stages. Preferably, a guide rail 4192 is provided for proper alignment of a TAB film with bond pads 4193. A second protective barrier 4194 can also preferably be provided. Preferably, as will become more apparent with reference to the description of Fig. 372 adjacent actuator arms are interleaved and reversed.

[0233] Turning now to Fig. 372, there is illustrated a full color printhead arrangement which includes three series of inkjet nozzles 4194, 4196, one each devoted to a separate color. Again, guide rails 4198, 4199 are provided in addition to bond pads, eg. 4200. In Fig. 373, there is illustrated a general plan of the layout of a portion of a full color printhead which clearly illustrates the interleaved nature of the actuator arms.

[0234] One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:

1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 374. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 373 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.

2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the surface anti-wicking notch, and the heater contacts. This step is shown in Fig. 375.

3. Deposit I micron of sacrificial material (e.g. aluminum or photosensitive polyimide)

4. Etch (if aluminum) or develop (if photosensitive polyimide) the sacrificial layer using Mask 2. This mask defines the nozzle chamber walls and the actuator anchor point. This step is shown in Fig. 376.

5. Deposit 1 micron of heater material (e.g. cupronickel or TiN). If cupronickel, then deposition can consist of three steps - a thin anti-corrosion layer of, for example, TiN, followed by a seed layer, followed by electroplating of the 1 micron of cupronickel.

6. Deposit 3.4 microns of PECVD glass.

7. Deposit a layer identical to step 5.

8. Etch both layers of heater material, and glass layer, using Mask 3. This mask defines the actuator, paddle, and nozzle chamber walls. This step is shown in Fig. 377.

9. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.

10. Deposit 10 microns of sacrificial material.

11. Etch or develop sacrificial material using Mask 4. This mask defines the nozzle chamber wall. This step is shown in Fig. 378.

12. Deposit 3 microns of PECVD glass.

13. Etch to a depth of (approx.) 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 379.

14. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 380.

15. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 381.

16. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 382.

17. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.

18. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.

19. Hydrophobize the front surface of the print heads.

20. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 383.



[0235] The presently disclosed ink jet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.

Ink Jet Technologies



[0236] The embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular ink jet printing technologies are unlikely to be suitable.

[0237] The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.

[0238] The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.

[0239] Ideally, the inkjet technologies used meet the stringent requirements of in camera digital color printing and other high quality, high speed, low cost printing applications. To meet the requirements of digital photography, new inkjet technologies have been created. The target features include:

low power (less than 10 Watts)

high resolution capability (1,600 dpi or more)

photographic quality output

low manufacturing cost

small size (pagewidth times minimum cross section)

high speed (< 2 seconds per page).



[0240] All of these features can be met or exceeded by the inkjet systems described below with differing levels of difficulty. 45 different inkjet technologies have been developed by the Assignee to give a wide range of choices for high volume manufacture. These technologies form part of separate applications assigned to the present Assignee as set out in the table below.

[0241] The inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems

[0242] For ease of manufacture using standard process equipment, the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing. For color photographic applications, the print head is 100 mm long, with a width which depends upon the inkjet type. The smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm. The print heads each contain 19,200 nozzles plus data and control circuitry.

[0243] Ink is supplied to the back of the print head by injection molded plastic ink channels. The molding requires 50 micron features, which can be created using a lithographically micromachined insert in a standard injection molding tool. Ink flows through holes etched through the wafer to the nozzle chambers fabricated on the front surface of the wafer. The print head is connected to the camera circuitry by tape automated bonding.

Cross-Referenced Applications



[0244] The following table is a guide to cross-referenced patent applications filed concurrently herewith and discussed hereinafter with the reference being utilized in subsequent tables when referring to a particular case:
Docket No. Reference Title
IJ01US IJ01 Radiant Plunger Ink Jet Printer
IJ02US IJ02 Electrostatic Ink Jet Printer
IJ03US IJ03 Planar Thermoelastic Bend Actuator Ink Jet
IJ04US IJ04 Stacked Electrostatic Ink Jet Printer
IJ05US IJ05 Reverse Spring Lever Ink Jet Printer
IJ06US IJ06 Paddle Type Ink Jet Printer
IJ07US IJ07 Permanent Magnet Electromagnetic Ink Jet Printer
JJ08US IJ08 Planar Swing Grill Electromagnetic Ink Jet Printer
IJ09US IJ09 Pump Action Refill Ink Jet Printer
IJ10US IJ10 Pulsed Magnetic Field Ink Jet Printer
IJ11US IJ11 Two Plate Reverse Firing Electromagnetic Ink Jet Printer
IJ12US IJ12 Linear Stepper Actuator Ink Jet Printer
IJ13US IJ13 Gear Driven Shutter Ink Jet Printer
IJ14US IJ14 Tapered Magnetic Pole Electromagnetic Ink Jet Printer
IJ15US IJ15 Linear Spring Electromagnetic Grill Ink Jet Printer
IJ16US IJ16 Lorenz Diaphragm Electromagnetic Ink Jet Printer
IJ17US IJ17 PTFE Surface Shooting Shuttered Oscillating Pressure Ink Jet Printer
IJ18US IJ18 Buckle Grip Oscillating Pressure Ink Jet Printer
IJ19US IJ19 Shutter Based Ink Jet Printer
IJ20US IJ20 Curling Calyx Thermoelastic Ink Jet Printer
IJ21US IJ21 Thermal Actuated Ink Jet Printer
IJ22US IJ22 Iris Motion Ink Jet Printer
IJ23US IJ23 Direct Firing Thermal Bend Actuator Ink Jet Printer
IJ24US IJ24 Conductive PTFE Ben Activator Vented Ink Jet Printer
IJ25US IJ25 Magnetostrictive Ink Jet Printer
IJ26US IJ26 Shape Memory Alloy Ink Jet Printer
IJ27US IJ27 Buckle Plate Ink Jet Printer
IJ28US IJ28 Thermal Elastic Rotary Impeller Ink Jet Printer
IJ29US IJ29 Thermoelastic Bend Actuator Ink Jet Printer
IJ30US IJ30 Thermoelastic Bend Actuator Using PTFE and Corrugated Copper Ink Jet Printer
IJ31US IJ31 Bend Actuator Direct Ink Supply Ink Jet Printer
IJ32US IJ32 A High Young's Modulus Thermoelastic Ink Jet Printer
IJ33US IJ33 Thermally actuated slotted chamber wall ink jet printer
IJ34US IJ34 Ink Jet Printer having a thermal actuator comprising an external coiled spring
IJ35US IJ35 Trough Container Ink Jet Printer
IJ36US IJ36 Dual Chamber Single Vertical Actuator Ink Jet
IJ37US IJ37 Dual Nozzle Single Horizontal Fulcrum Actuator Ink Jet
IJ38US IJ38 Dual Nozzle Single Horizontal Actuator Ink Jet
IJ39US IJ39 A single bend actuator cupped paddle ink jet printing device
IJ40US IJ40 A thermally actuated inkjet printer having a series of thermal actuator units
IJ41US IJ41 A thermally actuated ink jet printer including a tapered heater element
IJ42US IJ42 Radial Back-Curling Thermoelastic Ink Jet
IJ43US IJ43 Inverted Radial Back-Curling Thermoelastic Ink Jet
IJ44US IJ44 Surface bend actuator vented ink supply ink jet printer
IJ45US IJ45 Coil Acutuated Magnetic Plate Ink Jet Printer

Tables of Drop-on-Demand Inkjets



[0245] Eleven important characteristics of the fundamental operation of individual inkjet nozzles have been identified. These characteristics are largely orthogonal, and so can be elucidated as an eleven dimensional matrix. Most of the eleven axes of this matrix include entries developed by the present assignee:

[0246] The following tables form the axes of an eleven dimensional table of inkjet types.

Actuator mechanism (18 types)

Basic operation mode (7 types)

Auxiliary mechanism (8 types)

Actuator amplification or modification method (17 types)

Actuator motion (19 types)

Nozzle refill method (4 types)

Method of restricting back-flow through inlet (10 types)

Nozzle clearing method (9 types)

Nozzle plate construction (9 types)

Drop ejection direction (5 types)

Ink type (7 types)



[0247] The complete eleven dimensional table represented by these axes contains 36.9 billion possible configurations of inkjet nozzle. While not all of the possible combinations result in a viable inkjet technology, many million configurations are viable. It is clearly impractical to elucidate all of the possible configurations. Instead, certain inkjet types have been investigated in detail. These are designated IJ01 to IJ45 above.

[0248] Other inkjet configurations can readily be derived from these 45 examples by substituting alternative configurations along one or more of the 11 axes. Most of the IJ01 to IJ45 examples can be made into inkjet print heads with characteristics superior to any currently available inkjet technology.

[0249] Where there are prior art examples known to the inventor, one or more of these examples are listed in the examples column of the tables below. The IJ01 to IJ45 series are also listed in the examples column. In some cases, a printer may be listed more than once in a table, where it shares characteristics with more than one entry.

[0250] Suitable applications include: Home printers, Office network printers, Short run digital printers, Commercial print systems, Fabric printers, Pocket printers, Internet WWW printers, Video printers, Medical imaging, Wide format printers, Notebook PC printers, Fax machines, Industrial printing systems, Photocopiers, Photographic minilabs etc.

[0251] The information associated with the aforementioned 11 dimensional matrix are set out in the following tables.




















































Ink Jet Printing



[0252] A large number of new forms of ink jet printers have been developed to facilitate alternative ink jet technologies for the image processing and data distribution system. Various combinations of ink jet devices can be included in printer devices incorporated as part of the present invention. Australian Provisional Patent Applications relating to these ink jets include:
Australian Provisional Number Filing Date Title
PO8066 15-Jul-97 Image Creation Method and Apparatus (IJ01)
PO8072 15-Jul-97 Image Creation Method and Apparatus (IJ02)
PO8040 15-Jul-97 Image Creation Method and Apparatus (IJ03)
PO8071 15-Jul-97 Image Creation Method and Apparatus (IJ04)
PO8047 15-Jul-97 Image Creation Method and Apparatus (IJ05)
PO8035 15-Jul-97 Image Creation Method and Apparatus (IJ06)
PO8044 15-Jul-97 Image Creation Method and Apparatus (IJ07)
PO8063 15-Jul-97 Image Creation Method and Apparatus (IJ08)
PO8057 15-Jul-97 Image Creation Method and Apparatus (IJ09)
PO8056 15-Jul-97 Image Creation Method and Apparatus (IJ10)
PO8069 15-Jul-97 Image Creation Method and Apparatus (IJ11)
PO8049 15-Jul-97 Image Creation Method and Apparatus (IJ12)
PO8036 15-Jul-97 Image Creation Method and Apparatus (IJ13)
PO8048 15-Jul-97 Image Creation Method and Apparatus (IJ14)
PO8070 15-Jul-97 Image Creation Method and Apparatus (IJ15)
PO8067 15-Jul-97 Image Creation Method and Apparatus (IJ16)
PO8001 15-Jul-97 Image Creation Method and Apparatus (IJ17)
PO8038 15-Jul-97 Image Creation Method and Apparatus (IJ18)
PO8033 15-Jul-97 Image Creation Method and Apparatus (IJ19)
PO8002 15-Jul-97 Image Creation Method and Apparatus (IJ20)
PO8068 15-Jul-97 Image Creation Method and Apparatus (IJ21)
PO8062 15-Jul-97 Image Creation Method and Apparatus (IJ22)
PO8034 15-Jul-97 Image Creation Method and Apparatus (IJ23)
PO8039 15-Jul-97 Image Creation Method and Apparatus (IJ24)
PO8041 15-Jul-97 Image Creation Method and Apparatus (IJ25)
PO8004 15-Jul-97 Image Creation Method and Apparatus (IJ26)
PO8037 15-Jul-97 Image Creation Method and Apparatus (IJ27)
PO8043 15-Jul-97 Image Creation Method and Apparatus (IJ28)
PO8042 15-Jul-97 Image Creation Method and Apparatus (IJ29)
PO8064 15-Jul-97 Image Creation Method and Apparatus (IJ30)
PO9389 23-Sep-97 Image Creation Method and Apparatus (IJ31)
PO9391 23-Sep-97 Image Creation Method and Apparatus (IJ32)
PP0888 12-Dec-97 Image Creation Method and Apparatus (IJ33)
PP0891 12-Dec-97 Image Creation Method and Apparatus (IJ34)
PP0890 12-Dec-97 Image Creation Method and Apparatus (IJ35)
PP0873 12-Dec-97 Image Creation Method and Apparatus (IJ36)
PP0993 12-Dec-97 Image Creation Method and Apparatus (IJ37)
PP0890 12-Dec-97 Image Creation Method and Apparatus (IJ38)
PP1398 19-Jan-98 An Image Creation Method and Apparatus (IJ39)
PP2592 25-Mar-98 An Image Creation Method and Apparatus (IJ40)
PP2593 25-Mar-98 Image Creation Method and Apparatus (IJ41)
PP3991 9-Jun-98 Image Creation Method and Apparatus (IJ42)
PP3987 9-Jun-98 Image Creation Method and Apparatus (IJ43)
PP3985 9-Jun-98 Image Creation Method and Apparatus (IJ44)
PP3983 9-Jun-98 Image Creation Method and Apparatus (IJ45)

Ink Jet Manufacturing



[0253] Further, the present application may utilize advanced semiconductor fabrication techniques in the construction of large arrays of ink jet printers. Suitable manufacturing techniques are described in the following Australian provisional patent specifications:
Australian Provisional Number Filing Date Title
PO7935 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM01)
PO7936 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM02)
PO7937 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM03)
PO8061 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM04)
PO8054 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM05)
PO8065 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM06)
PO8055 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM07)
PO8053 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM08)
PO8078 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM09)
PO7933 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM10)
PO7950 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM11)
PO7949 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM12)
PO8060 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM13)
PO8059 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM14)
PO8073 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM15)
PO8076 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM16)
PO8075 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM17)
PO8079 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM18)
PO8050 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM19)
PO8052 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM20)
PO7948 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM21)
PO7951 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM22)
PO8074 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM23)
PO7941 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM24)
PO8077 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM25)
PO8058 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM26)
PO8051 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM27)
PO8045 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM28)
PO7952 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM29)
PO8046 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM30)
PO8503 11-Aug-97 A Method of Manufacture of an Image Creation Apparatus (IJM30a)
PO9390 23-Sep-97 A Method of Manufacture of an Image Creation Apparatus (IJM31)
PO9392 23-Sep-97 A Method of Manufacture of an Image Creation Apparatus (IJM32)
PP0889 12-Dec-97 A Method of Manufacture of an Image Creation Apparatus (IJM35)
PP0887 12-Dec-97 A Method of Manufacture of an Image Creation Apparatus (IJM36)
PP0882 12-Dec-97 A Method of Manufacture of an Image Creation Apparatus (IJM37)
PP0874 12-Dec-97 A Method of Manufacture of an Image Creation Apparatus (IJM38)
PP1396 19-Jan-98 A Method of Manufacture of an Image Creation Apparatus (IJM39)
PP2591 25-Mar-98 A Method of Manufacture of an Image Creation Apparatus (IJM41)
PP3989 9-Jun-98 A Method of Manufacture of an Image Creation Apparatus (IJM40)
PP3990 9-Jun-98 A Method of Manufacture of an Image Creation Apparatus (IJM42)
PP3986 9-Jun-98 A Method of Manufacture of an Image Creation Apparatus (IJM43)
PP3984 9-Jun-98 A Method of Manufacture of an Image Creation Apparatus (IJM44)
PP3982 9-Jun-98 A Method of Manufacture of an Image Creation Apparatus (IJM45)

Fluid Supply



[0254] Further, the present application may utilize an ink delivery system to the ink jet head. Delivery systems relating to the supply of ink to a series of ink jet nozzles are described in the following Australian provisional patent specifications:
Australian Provisional Number Filing Date Title
PO8003 15-Jul-97 Supply Method and Apparatus (F1)
PO8005 15-Jul-97 Supply Method and Apparatus (F2)
PO9404 23-Sep-97 A Device and Method (F3)

MEMS Technology



[0255] Further, the present application may utilize advanced semiconductor microelectromechanical techniques in the construction of large arrays of ink jet printers. Suitable microelectromechanical techniques are described in the following Australian provisional patent specifications:
Australian Provisional Number Filing Date Title
PO7943 15-Jul-97 A device (MEMS01)
PO8006 15-Jul-97 A device (MEMS02)
PO8007 15-Jul-97 A device (MEMS03)
PO8008 15-Jul-97 A device (MEMS04)
PO8010 15-Jul-97 A device (MEMS05)
PO8011 15-Jul-97 A device (MEMS06)
PO7947 15-Jul-97 A device (MEMS07)
PO7945 15-Jul-97 A device (MEMS08)
PO7944 15-Jul-97 A device (MEMS09)
PO7946 15-Jul-97 A device (MEMS10)
PO9393 23-Sep-97 A Device and Method (MEMS11)
PP0875 12-Dec-97 A Device (MEMS12)
PP0894 12-Dec-97 A Device and Method (MEMS13)

IR Technologies



[0256] Further, the present application may include the utilization of a disposable camera system such as those described in the following Australian provisional patent specifications:
Australian Provisional Number Filing Date Title
PP0895 12-Dec-97 An Image Creation Method and Apparatus (IR01)
PP0870 12-Dec-97 A Device and Method (IR02)
PP0869 12-Dec-97 A Device and Method (IR04)
PP0887 12-Dec-97 Image Creation Method and Apparatus (IR05)
PP0885 12-Dec-97 An Image Production System (IR06)
PP0884 12-Dec-97 Image Creation Method and Apparatus (IR10)
PP0886 12-Dec-97 Image Creation Method and Apparatus (IR12)
PP0871 12-Dec-97 A Device and Method (IR13)
PP0876 12-Dec-97 An Image Processing Method and Apparatus (IR14)
PP0877 12-Dec-97 A Device and Method (IR16)
PP0878 12-Dec-97 A Device and Method (IR17)
PP0879 12-Dec-97 A Device and Method (IR18)
PP0883 12-Dec-97 A Device and Method (IR19)
PP0880 12-Dec-97 A Device and Method (IR20)
PP0881 12-Dec-97 A Device and Method (IR21)

DotCard Technologies



[0257] Further, the present application may include the utilization of a data distribution system such as that described in the following Australian provisional patent specifications incorporated here by cross-reference:
Australian Provisional Number Filing Date Title
PP2370 16-Mar-98 Data Processing Method and Apparatus (Dot01)
PP2371 16-Mar-98 Data Processing Method and Apparatus (Dot02)

Arteam Technologies



[0258] Further, the present application may include the utilization of camera and data processing techniques such as an Artcam type device as described in the following Australian provisional patent specifications:
Australian Provisional Number Filing Date Title
PO7991 15-Jul-97 Image Processing Method and Apparatus (ART01)
PO8505 11-Aug-97 Image Processing Method and Apparatus (ART01a)
PO7988 15-Jul-97 Image Processing Method and Apparatus (ART02)
PO7993 15-Jul-97 Image Processing Method and Apparatus (ART03)
PO8012 15-Jul-97 Image Processing Method and Apparatus (ART05)
PO8017 15-Jul-97 Image Processing Method and Apparatus (ART06)
PO8014 15-Jul-97 Media Device (ART07)
PO8025 15-Jul-97 Image Processing Method and Apparatus (ART08)
PO8032 15-Jul-97 Image Processing Method and Apparatus (ART09)
PO7999 15-Jul-97 Image Processing Method and Apparatus (ART10)
PO7998 15-Jul-97 Image Processing Method and Apparatus (ART11)
PO8031 15-Jul-97 Image Processing Method and Apparatus (ART12)
PO8030 15-Jul-97 Media Device (ART13)
PO8498 11-Aug-97 Image Processing Method and Apparatus (ART14)
PO7997 15-Jul-97 Media Device (ART15)
PO7979 15-Jul-97 Media Device (ART16)
PO8015 15-Jul-97 Media Device (ART17)
PO7978 15-Jul-97 Media Device (ART18)
PO7982 15-Jul-97 Data Processing Method and Apparatus (ART19)
PO7989 15-Jul-97 Data Processing Method and Apparatus (ART20)
PO8019 15-Jul-97 Media Processing Method and Apparatus (ART21)
PO7980 15-Jul-97 Image Processing Method and Apparatus (ART22)
PO7942 15-Jul-97 Image Processing Method and Apparatus (ART23)
PO8018 15-Jul-97 Image Processing Method and Apparatus (ART24)
PO7938 15-Jul-97 Image Processing Method and Apparatus (ART25)
PO8016 15-Jul-97 Image Processing Method and Apparatus (ART26)
PO8024 15-Jul-97 Image Processing Method and Apparatus (ART27)
PO7940 15-Jul-97 Data Processing Method and Apparatus (ART28)
PO7939 15-Jul-97 Data Processing Method and Apparatus (ART29)
PO8501 11-Aug-97 Image Processing Method and Apparatus (ART30)
PO8500 11-Aug-97 Image Processing Method and Apparatus (ART31)
PO7987 15-Jul-97 Data Processing Method and Apparatus (ART32)
PO8022 15-Jul-97 Image Processing Method and Apparatus (ART33)
PO8497 11-Aug-97 Image Processing Method and Apparatus (ART30)
PO8029 15-Jul-97 Sensor Creation Method and Apparatus (ART36)
PO7985 15-Jul-97 Data Processing Method and Apparatus (ART37)
PO8020 15-Jul-97 Data Processing Method and Apparatus (ART38)
PO8023 15-Jul-97 Data Processing Method and Apparatus (ART39)
PO9395 23-Sep-97 Data Processing Method and Apparatus (ART4)
PO8021 15-Jul-97 Data Processing Method and Apparatus (ART40)
PO8504 11-Aug-97 Image Processing Method and Apparatus (ART42)
PO8000 15-Jul-97 Data Processing Method and Apparatus (ART43)
PO7977 15-Jul-97 Data Processing Method and Apparatus (ART44)
PO7934 15-Jul-97 Data Processing Method and Apparatus (ART45)
PO7990 15-Jul-97 Data Processing Method and Apparatus (ART46)
PO8499 11-Aug-97 Image Processing Method and Apparatus (ART47)
PO8502 11-Aug-97 Image Processing Method and Apparatus (ART48)
PO7981 15-Jul-97 Data Processing Method and Apparatus (ART50)
PO7986 15-Jul-97 Data Processing Method and Apparatus (ART51)
PO7983 15-Jul-97 Data Processing Method and Apparatus (ART52)
PO8026 15-Jul-97 Image Processing Method and Apparatus (ART53)
PO8027 15-Jul-97 Image Processing Method and Apparatus (ART54)
PO8028 15-Jul-97 Image Processing Method and Apparatus (ART56)
PO9394 23-Sep-97 Image Processing Method and Apparatus (ART57)
PO9396 23-Sep-97 Data Processing Method and Apparatus (ART58)
PO9397 23-Sep-97 Data Processing Method and Apparatus (ART59)
PO9398 23-Sep-97 Data Processing Method and Apparatus (ART60)
PO9399 23-Sep-97 Data Processing Method and Apparatus (ART61)
PO9400 23-Sep-97 Data Processing Method and Apparatus (ART62)
PO9401 23-Sep-97 Data Processing Method and Apparatus (ART63)
PO9402 23-Sep-97 Data Processing Method and Apparatus (ART64)
PO9403 23-Sep-97 Data Processing Method and Apparatus (ART65)
PO9405 23-Sep-97 Data Processing Method and Apparatus (ART66)
PP0959 16-Dec-97 A Data Processing Method and Apparatus (ART68)
PP1397 19-Jan-98 A Media Device (ART69)



Claims

1. An ink jet nozzle comprising:

a nozzle chamber (216) having an ink ejection port (213) in one wall of said chamber;

an ink supply source interconnected to said nozzle chamber (216);

a thermal actuator (224) activated to eject ink from said nozzle chamber via said ink ejection port, characterised in that the thermal actuator is activated by means of passing a current through a first layer (229) of the actuator so as to cause it to expand relative to a second layer (227) of the actuator.


 
2. An ink jet nozzle as claimed in claim 1, wherein the first layer (229) has a hydrophobic surface and wherein during operation said hydrophobic surface causes an air bubble to form adjacent the first layer (229).
 
3. An ink jet nozzle as claimed in claim 1 or claim 2, wherein a surface of the first layer (229) of said actuator is air vented (231) so as to reduce the actuation energy required to eject ink from said nozzle chamber (216).
 
4. An ink jet nozzle as claimed in claim 3 wherein said air venting comprises a series of small holes (231) in the actuator said holes being interconnected to an air supply channel for the supply of air to the actuator adjacent the first layer.
 
5. An ink jet nozzle as claimed in claim 4, wherein the area around the surface of the first layer (229) is constructed from hydrophobic material.
 
6. An ink jet nozzle as claimed in claim 4 or claim 5, wherein said holes (231) are of a size such that, during operation, any fluid is retained within said nozzle chamber.
 
7. An ink jet nozzle as claimed in any one of claims 4 to 6 wherein the actuator (224) is attached at one end (235) to said nozzle chamber and said holes are located near the attached end.
 
8. An ink jet nozzle as claimed in any one of claims 1 to 7 wherein said actuator (224) is constructed from polytetrafluoroethylene.
 
9. An ink jet nozzle as claimed in claim 23 wherein the first layer (229) is treated in portions so as to form a conductive material.
 
10. An ink jet nozzle as claimed in claim 1,
   the second layer (227) being constructed from a highly conductive material interconnected to the first layer (229) being constructed from an electrically resistive material such that upon passing the current, said thermal actuator is caused to bend towards said ink ejection port (213) so as to thereby cause the ejection of ink from said ink ejection port.
 
11. An ink jet nozzle as claimed in claim 10 wherein said actuator is attached to a substrate (220, 221) and further includes a stiff paddle portion (225) which increases the degree of bending of said actuator (224) near the point (235) where it is attached to the substrate.
 
12. An ink jet nozzle as claimed in claim 11 wherein said stiff paddle (225) is formed of silicon nitride.
 
13. An ink jet nozzle as claimed in any of claims 10 to 12 wherein said actuator (224) further includes an expansion coating having a high coefficient of thermal expansion on a surface of the first layer (229) so as to increase the amount of bending of said actuator.
 
14. An ink jet nozzle as claimed in claim 13 wherein said expansion coating comprises substantially polytetrafluoroethylene.
 
15. An ink jet nozzle as claimed in any of claims 10 to 14 wherein between said second layer (227) and said first layer (229) there is provided a gap, constructed through the utilization of a sacrificial material which is deposited and subsequently etched away so as to leave said gap.
 
16. An ink jet nozzle as claimed in claim 15 wherein said second layer includes a plurality of etchant holes provided so as to allow a more rapid etching of said sacrificial layer during construction.
 
17. An ink jet nozzle as claimed in any of claims 10 to 16 wherein the first layer (229) comprises substantially Indium Tin Oxide (ITO).
 
18. An ink jet nozzle as claimed in any of claims 10 to 17 wherein the second layer (227) comprises substantially a metal layer.
 
19. An ink jet nozzle as claimed in any of claims 10 to 18 wherein said layers (227, 229) are further coated with a passivation material as required.
 
20. An ink jet nozzle as claimed in any one of claims 10 to 19 wherein said ink jet nozzle is formed on a silicon wafer utilizing micro-electro mechanical systems construction techniques.
 
21. An ink jet nozzle as claimed in claim 1, the layers (227, 229) having a high coefficient of thermal expansion, the second layer (227) being substantially non conductive and the first layer (229) being conductive, the thermal actuator being activated by means of passing a current through the first layer so as to cause it to expand relative to the second layer, which is cooled by a water based ink.
 
22. An ink jet nozzle as claimed in claim 21 wherein said first layer (229) comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the first layer (229) through the interaction of said conductive and non-conductive portions.
 
23. An ink jet nozzle as claimed in claim 22, wherein said resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of said actuators (224) in those areas.
 
24. An ink jet nozzle as claimed in claims 22 or 23 wherein said non-conductive portions are formed from the same material as the second layer.
 
25. An ink jet nozzle according to claim 11, wherein the thermal actuator (224) comprises materials having a high Young's modulus which produce a bending motion upon heating thereby causing the ejection paddle to eject ink from said ink ejection port.
 
26. An ink jet nozzle as claimed in claim 25 wherein said thermal actuator (224) is pivoted so as to increase the degree of travel of said ejection paddle upon actuation of said thermal actuator.
 
27. An ink jet nozzle as claimed in any of claims 25 to 26 wherein said actuator mechanism is of a horseshoe shaped form and pivoted substantially around a midpoint.
 
28. An ink jet nozzle as claimed in any of claims 25 to 27 wherein said pivot point is constructed on a wall of said chamber.
 
29. An ink jet nozzle as claimed in claim 28 wherein said wall comprises a thinned membrane.
 
30. An ink jet nozzle as claimed in any of claims 25 to 29 wherein said thermal actuator operates in the ambient atmosphere.
 
31. An ink jet nozzle as claimed in any of claims 25 to 29 wherein nozzle chamber is constructed on a silicon wafer and said ink is supplied through said silicon wafer.
 
32. An ink jet nozzle as claimed in any of claims 25 to 31 wherein said thermal actuator is constructed from a thin conductive section having a high Young's modulus and a substantially thicker non conductive portion.
 
33. An ink jet nozzle as claimed in claim 32 wherein said thin conductive portion comprises substantially titanium diboride.
 
34. An ink jet nozzle as claimed in claim 32 wherein said thicker portion comprises substantially glass.
 
35. An ink jet nozzle as claimed in any of claims 25 to 34 wherein said nozzle chamber walls include a number of small sacrificial etchant holes for utilization in construction of said nozzle, said holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom.
 
36. An ink jet nozzle as claimed in any of claims 25 to 35 wherein said nozzle is constructed using micro electro mechanical systems techniques including a sacrificial etch and said ejection paddle is released in said sacrificial etch to be in a prefiring position.
 


Ansprüche

1. Tintenstrahldüse, umfassend:

eine Düsenkammer (216) mit einer Tintenausstoßöffnung (213) in einer Wand der Kammer;

eine Tintenzufuhrquelle, die mit der Düsenkammer (216) verbunden ist;

einen Wärmeaktuator (224), der zum Ausstoßen von Tinte aus der Düsenkammer über die Tintenausstoßöffnung aktivierbar ist, dadurch gekennzeichnet, dass der Wärmeaktuator aktivierbar ist, indem ein durch eine erste Schicht (229) des Aktuators fließender Strom diese veranlasst, sich relativ zu einer zweiten Schicht (227) des Aktuators auszudehnen.


 
2. Tintenstrahldüse nach Anspruch 1, worin die erste Schicht (229) eine hydrophobe Oberfläche aufweist und worin die hydrophobe Oberfläche beim Betrieb die Bildung einer Luftblase angrenzend an die erste Schicht (229) auslöst.
 
3. Tintenstrahldüse nach Anspruch 1 oder 2, worin eine Oberfläche der ersten Schicht (229) des Aktuators belüftet (231) ist, um die zum Ausstoß von Tinte aus der Düsenkammer (216) benötigte Betätigungsenergie zu senken.
 
4. Tintenstrahldüse nach Anspruch 3, worin die Belüftung eine Reihe von kleinen Löchern (231) im Aktuator umfasst, wobei die Löcher mit einem Luftzufuhrkanal verbunden sind, um benachbart zur ersten Schicht dem Aktuator Luft zuzuführen.
 
5. Tintenstrahldüse nach Anspruch 4, worin der Bereich um die Oberfläche der ersten Schicht (229) aus einem hydrophoben Material hergestellt ist.
 
6. Tintenstrahldüse nach Anspruch 4 oder 5, worin die Löcher (231) von solcher Größe sind, dass jedes beliebige Fluid während des Betriebs in der Düsenkammer verbleibt.
 
7. Tintenstrahldüse nach einem der Ansprüche 4 bis 6, worin der Aktuator (224) an einem Ende (235) an der Düsenkammer angebracht ist und die Löcher in der Nähe des Anbringungsendes ausgebildet sind.
 
8. Tintenstrahldüse nach einem der Ansprüche 1 bis 7, worin der Aktuator (224) aus Polytetrafluorethylen hergestellt ist.
 
9. Tintenstrahldüse nach Anspruch 23, worin die erste Schicht (229) in Abschnitten behandelt wird, um ein leitfähiges Material auszubilden.
 
10. Tintenstrahldüse nach Anspruch 1,
wobei die zweite Schicht (227), die aus einem hoch leitfähigen Material hergestellt ist, mit der ersten Schicht (229), die aus einem Material mit elektrischem Widerstand hergestellt ist, verbunden ist, sodass beim Hindurchleiten von Strom der Wärmeaktuator veranlasst wird, sich in Richtung zur Tintenausstoßöffnung (213) zu biegen, wodurch der Ausstoß von Tinte aus der Tintenausstoßöffnung verursacht wird.
 
11. Tintenstrahldüse nach Anspruch 10, worin der Aktuator auf einem Substrat (220, 221) angebracht ist und zudem einen steifen Flügelabschnitt (225) umfasst, der das Ausmaß der Durchbiegung des Aktuators (224) in der Nähe der Stelle (235), an dem er am Substrat angebracht ist, erhöht.
 
12. Tintenstrahldüse nach Anspruch 11, worin der steife Flügel (225) aus Siliciumnitrid hergestellt ist.
 
13. Tintenstrahldüse nach einem der Ansprüche 10 bis 12, worin der Aktuator (224) auf einer Oberfläche der ersten Schicht (229) zudem eine Ausdehnungsbeschichtung mit einem hohen Wärmeausdehnungskoeffizienten aufweist, um das Ausmaß der Durchbiegung des Aktuators zu erhöhen.
 
14. Tintenstrahldüse nach Anspruch 13, worin die Ausdehnungsbeschichtung im Wesentlichen Polytetrafluorethylen umfasst.
 
15. Tintenstrahldüse nach einem der Ansprüche 10 bis 14, worin ein Spalt zwischen der zweiten Schicht (227) und der ersten Schicht (229) bereitgestellt ist, der durch die Verwendung eines Opfermaterials, das aufgebracht und in der Folge zum Ausbilden des Spalts wieder abgeätzt wurde, ausgebildet ist.
 
16. Tintenstrahldüse nach Anspruch 15, worin die zweite Schicht eine Vielzahl von Ätzmittellöchern aufweist, die bereitgestellt sind, um eine raschere Ätzung der Opferschicht bei der Herstellung zu ermöglichen.
 
17. Tintenstrahldüse nach einem der Ansprüche 10 bis 16, worin die erste Schicht (229) im Wesentlichen Indiumzinnoxid (ITO) umfasst.
 
18. Tintenstrahldüse nach einem der Ansprüche 10 bis 17, worin die zweite Schicht (227) im Wesentlichen eine Metallschicht umfasst.
 
19. Tintenstrahldüse nach einem der Ansprüche 10 bis 18, worin die Schichten (227, 229) nach Bedarf zudem mit einem Passivierungsmaterial beschichtet sind.
 
20. Tintenstrahldüse nach einem der Ansprüche 10 bis 19, worin die Tintenstrahldüse unter Verwendung von Herstellungsverfahren mikroelektromechanischer Systeme auf einem Siliciumwafer ausgebildet ist.
 
21. Tintenstrahldüse nach Anspruch 1, wobei die Schichten (227, 229) einen hohen Wärmeausdehnungskoeffizienten aufweisen, die zweite Schicht (227) im Wesentlichen nicht leitend und die erste Schicht (229) leitend ist, und der Wärmeaktuator aktivierbar ist, indem ein durch die erste Schicht fließender Strom diese veranlasst, sich relativ zur zweiten Schicht, die durch Tinte auf Wasserbasis gekühlt wird, auszudehnen.
 
22. Tintenstrahldüse nach Anspruch 21, worin die erste Schicht (229) leitende Abschnitte und nicht leitende Abschnitte umfasst, sodass durch die Wechselwirkung zwischen den leitenden und nicht leitenden Abschnitten ein Stromkreis zur Erwärmung der ersten Schicht (229) entsteht.
 
23. Tintenstrahldüse nach Anspruch 22, worin der widerstandbehaftete Stromkreis mit einer vorbestimmten Fläche einer geringen Querschnittsfläche des Schaltkreises ausgebildet ist, um an diesen Flächen einen hohen Erwärmungsgrad der Aktuatoren (224) zu erzeugen.
 
24. Tintenstrahldüse nach Anspruch 22 oder 23, worin die nicht leitenden Abschnitte aus dem gleichen Material wie das der zweiten Schicht gebildet sind.
 
25. Tintenstrahldüse nach Anspruch 11, worin der Wärmeaktuator (224) Materialien mit einem hohen Elastizitätsmodul umfasst, die bei der Erwärmung eine Biegebewegung erzeugen, wodurch der Ausstoßflügel zum Ausstoßen von Tinte aus der Tintenausstoßöffnung veranlasst wird.
 
26. Tintenstrahldüse nach Anspruch 25, worin der Wärmeaktuator (224) geschwenkt wird, um das Ausmaß der Bewegung des Ausstoßflügels bei der Betätigung des Wärmeaktuators zu erhöhen.
 
27. Tintenstrahldüse nach einem der Ansprüche 25 bis 26, worin der Aktuatormechanismus hufeisenförmig ist und im Wesentlichen um einen Mittelpunkt geschwenkt wird.
 
28. Tintenstrahldüse nach einem der Ansprüche 25 bis 27, worin der Schwenkpunkt an einer Wand der Kammer ausgebildet ist.
 
29. Tintenstrahldüse nach Anspruch 28, worin die Wand eine ausgedünnte Membran umfasst.
 
30. Tintenstrahldüse nach einem der Ansprüche 25 bis 29, worin der Wärmeaktuator in der Umgebungsatmosphäre arbeitet.
 
31. Tintenstrahldüse nach einem der Ansprüche 25 bis 29, worin die Düsenkammer auf einem Siliciumwafer ausgebildet ist und die Tinte durch den Siliciumwafer zugeführt wird.
 
32. Tintenstrahldüse nach einem der Ansprüche 25 bis 31, worin der Wärmeaktuator so konstruiert ist, dass ein dünner leitender Bereich mit einem hohen Elastizitätsmodul und ein wesentlich dickerer nicht leitender Abschnitt ausgebildet sind.
 
33. Tintenstrahldüse nach Anspruch 32, worin der dünne leitende Abschnitt im Wesentlichen Titandiborid umfasst.
 
34. Tintenstrahldüse nach Anspruch 32, worin der dickere Abschnitt im Wesentlichen Glas umfasst.
 
35. Tintenstrahldüse nach einem der Ansprüche 25 bis 34, worin die Düsenkammer eine Anzahl an kleinen Opferätzlöchern zur Verwendung bei der Herstellung der Düse umfasst, wobei die Löcher einen ausreichend kleinen Durchmesser aufweisen, um den Ausstoß von Tinte aus diesen zu verhindern.
 
36. Tintenstrahldüse nach einem der Ansprüche 25 bis 35, worin die Düse unter Verwendung von Verfahren mikroelektromechanischer Systeme, einschließlich einer Opferätzung, herstellt wird und der Ausstoßflügel durch die Opferätzung gelöst wird, um sich in einer Vorauslösungsposition zu befinden.
 


Revendications

1. Buse de jet d'encre comprenant:

une chambre de buse (216) ayant un orifice d'éjection d'encre (213) dans une paroi de ladite chambre;

une source d'amenée d'encre interconnectée à ladite chambre de buse (216);

un actionneur thermique (224) activé pour éjecter l'encre de ladite chambre de buse par ledit orifice d'éjection d'encre, caractérisée en ce que l'actionneur thermique est activé au moyen du passage d'un courant à travers une première couche (229) de l'actionneur de façon à l'amener à se dilater relativement à la seconde couche (227) de l'actionneur.


 
2. Buse de jet d'encre selon la revendication 1, ou la première couche (229) comporte une surface hydrophobe, et où pendant le fonctionnement, ladite surface hydrophobe provoque la formation d'une bulle d'air adjacente à la première couche (229).
 
3. Buse de jet d'encre selon la revendication 1 ou la revendication 2, où une surface de la première couche (229) dudit actionneur est aérée (231) de manière à réduire l'énergie d'actionnement requise pour éjecter l'encre de ladite chambre de buse (216).
 
4. Buse de jet d'encre selon la revendication 3, où ladite aération comprend une série de petites trous (231) dans l'actionneur, lesdits trous étant interconnectés à un canal d'amenée d'air pour l'amenée d'air à l'actionneur adjacent à la première couche.
 
5. Buse de jet d'encre selon la revendication 4, où la zone autour de la surface de la première couche (229) est réalisée en un matériau hydrophobe.
 
6. Buse de jet d'encre selon la revendication 4 ou la revendication 5, où lesdits trous (231) sont d'une taille telle que pendant le fonctionnement, tout fluide soit retenu dans ladite chambre de buse.
 
7. Buse de jet d'encre selon l'une des revendications 4 à 6, où l'actionneur (224) est fixé à une extrémité (235) à ladite chambre de buse, et lesdits trous se situent près de l'extrémité attachée.
 
8. Buse de jet d'encre selon la revendication 1 à 7, où ledit actionneur (224) est réalisé en polytétrafluoroéthylène.
 
9. Buse de jet d'encre selon la revendication 23, où la première couche (229) est traitée en portions de manière à former un matériau conducteur.
 
10. Buse de jet d'encre selon la revendication 1,
   la seconde couche (227) étant réalisée en un matériau hautement conducteur interconnecté à la première couche (229) réalisée en un matériau électriquement résistant de sorte que lors du passage du courant, ledit actionneur thermique est amené à se plier vers ledit orifice d'éjection d'encre (231) de manière à provoquer l'éjection d'encre dudit orifice d'éjection d'encre.
 
11. Buse de jet d'encre selon la revendication 10, où ledit actionneur est fixé à un substrat (220,221) et comprend en outre une portion de palette rigide (225) qui augmente le degré de pliage dudit actionneur (224) près du point (235) où il est fixé au substrat.
 
12. Buse de jet d'encre selon la revendication 11, où ladite palette rigide (225) est réalisée en nitrure de silicium.
 
13. Buse de jet d'encre selon la revendication 10 à 12, où ledit actionneur (224) comprend en outre un revêtement d'expansion ayant un coefficient élevé de dilatation thermique sur une surface de la première couche (229) de manière à augmenter la quantité de pliage dudit actionneur.
 
14. Buse de jet d'encre selon la revendication 13, où ledit revêtement d'expansion comprend sensiblement du polytétrafluoroéthylène.
 
15. Buse de jet d'encre selon la revendication 10 à 14, où entre ladite seconde couche (227) et ladite première couche (229) est réalisé un espace, construit par l'utilisation d'un matériau sacriciel qui est déposé et ensuite enlevé par attaque de manière à laisser subsister ledit espace.
 
16. Buse de jet d'encre selon la revendication 15, où ladite seconde couche comprend une pluralité de trous de réactif d'attaque réalisé de manière à permettre une attaque plus rapide de ladite couche sacricielle pendant la construction.
 
17. Buse de jet d'encre selon la revendication 10 à 16, où ladite première couche (229) comprend sensiblement de l'oxyde indium d'étain (ITO).
 
18. Buse de jet d'encre selon la revendication 10 à 17, où la seconde couche (227) comprend sensiblement une couche métallique.
 
19. Buse de jet d'encre selon la revendication 10 à 18, où lesdites couches (227,229) sont revêtues en outre d'un matériau de passivation, selon ce qui est requis.
 
20. Buse de jet d'encre selon la revendication 10 à 19, où ladite buse de jet d'encre est formée sur une plaquette de silicium utilisant des techniques de construction de systèmes micro-électro-mécaniques.
 
21. Buse de jet d'encre selon la revendication 1, les couches (227,229) ayant un coefficient élevé de dilatation thermique, la seconde couche (227) étant sensiblement non conductrice et la première couche (229) étant conductrice, l'actionneur thermique étant activé par le passage d'un courant à travers la première couche de manière à l'amener à se dilater relativement à la seconde couche, qui est refroidie par de l'encre à base d'eau.
 
22. Buse de jet d'encre selon la revendication 21, où ladite première couche (229) comprend des portions qui sont conductrices et des portions qui sont non conductrices de sorte qu'un circuit est formé pour le chauffage de la première couche (229) par l'interaction desdites portions conductrices et non conductrices.
 
23. Buse de jet d'encre selon la revendication 22, où ledit circuit résistif est créé ayant une surface prédéterminée d'une aire réduite en section transversale de circuit de manière à produire des niveaux de chauffage élevés desdits actionneurs (224) dans ces zones.
 
24. Buse de jet d'encre selon la revendication 22 ou 23, où lesdites portions non conductrices sont réalisées dans le même matériau que la seconde couche.
 
25. Buse de jet d'encre selon la revendication 11, où l'actionneur thermique (224) comprend des matériaux ayant un module de Young élevé qui produisent un mouvement de pliage lors du chauffage en amenant ainsi la palette d'éjection à éjecter l'encre dudit orifice d'éjection d'encre.
 
26. Buse de jet d'encre selon la revendication 25, où ledit actionneur thermique (224) est amené à pivoter de manière à augmenter le degré de déplacement de ladite palette d'éjection lors de l'actionnement dudit actionneur thermique.
 
27. Buse de jet d'encre selon la revendication 25 à 26, où ledit mécanisme d'actionneur est réalisé en une forme de fer à cheval et est amené à pivoter sensiblement autour d'un point médian.
 
28. Buse de jet d'encre selon la revendication 25 à 27, où ledit pivot est réalisé sur une paroi de ladite chambre.
 
29. Buse de jet d'encre selon la revendication 28, où ladite paroi comprend une membrane amincie.
 
30. Buse de jet d'encre selon la revendication 25 à 29, où ledit actionneur thermique fonctionne dans l'atmosphère ambiante.
 
31. Buse de jet d'encre selon la revendication 25 à 29, où ladite chambre de buse est réalisée sur une plaquette de silicium, et ladite encre est fournie à travers ladite plaquette de silicium.
 
32. Buse de jet d'encre selon la revendication 25 à 31, où ledit actionneur thermique est réalisé à partir d'une section conductrice mince ayant un module de Young élevé et une portion non conductrice sensiblement plus épaisse.
 
33. Buse de jet d'encre selon la revendication 32, où ladite portion conductrice mince comprend sensiblement du diborure de titane.
 
34. Buse de jet d'encre selon la revendication 32, où ladite portion plus épaisse comprend sensiblement du verre.
 
35. Buse de jet d'encre selon la revendication 25 à 34, où lesdites parois de chambre de buse comprennent un nombre de petits trous sacrificiels de réactif d'attaque pour l'utilisation pour la construction de ladite buse, lesdits trous étant d'un diamètre suffisamment petit pour empêcher l'éjection d'encre de ceux-ci.
 
36. Buse de jet d'encre selon la revendication 25 à 35, où ladite buse est construite en utilisant des techniques de systèmes micro-électro mécaniques incluant une attaque sacrificielle, et ladite palette d'éjection est libérée lors de ladite attaque sacrificielle pour se trouver dans une position avant le tir.
 




Drawing